Блок гальванической развязки между геофизическими датчиками и блоком обработки сигналов забойной телеметрической системы

0

 
Электроэнергетический факультет
 
Кафедра промышленной электроники и информационно-измерительной техники
 
 
ДИПЛОМНЫЙ ПРОЕКТ
 
 

Блок гальванической развязки между геофизическими датчиками и блоком обработки сигналов забойной телеметрической системы

 
Пояснительная записка
  
 
 
Аннотация
 
 
Пояснительная записка содержит 74 страницы, в том числе 21 рисунок и 21 таблица. Графическая часть выполнена на трех листах формата A1 и восьми листах формата А2.
В процессе выполнения дипломного проекта была решена задача разработки блока гальванической развязки между геофизическими датчиками и блоком обработки сигналов забойной телеметрической системы, полученное решение удовлетворяет поставленным требованиям технического задания.
Дипломный проект содержит расчёт экономических показателей, а также рассмотрены вопросы по безопасности труда.
 
 


Summary
 
 
Explanatory note contains 71 pages, including 21 figures and 21 tables. Graphic portion is three sheets of A1 and eight sheets of A2.
During execution of the graduation project solved the problem developed processing unit galvanic isolation between geophysical sensors and signal processing unit downhole telemetry system, the obtained solution satisfies the given requirements specification.
This project comprises calculating economic indicators, as well as the issues of occupational safety.

 
Содержание
 
Введение. 7
1 Проектно-пояснительная часть. 8
1.1 Общие сведения о забойных телеметрических системах. 8
1.2Обоснование необходимости модернизации приемной части телеметрической системы с гидравлическим каналом связи. 8
1.3Обзор современных видов телесистем, применяемых при бурении горизонтальных и наклонно-направленных скважин. 10
1.4 Анализ требований ГОСТ Р 51330.10-99 (МЭК 60079-11-99) взрывозащиты вида i "Искробезопасная электрическая цепь". 11
1.5 Анализ существующих барьеров искрозащиты.. 11
1.6 Цель дипломного проекта. 12
2 Разработка структурной схемы.. 13
2.1 Аналоговые каналы.. 14
2.2 Цифровые каналы.. 15
2.3 Канал связи. 15
2.4 Система питания каналов барьерного блока. 16
3 Схемотехническое проектирование. 19
3.1 Разработка схемы аналоговых каналов. 19
3.2 Разработка и расчёт источника вычитаемого напряжения. 23
3.3 Суммарная погрешность передачи аналоговых величин. 25
3.4 Разработка узлов цифрового канала. 25
3.5 Разработка канала связи. 27
3.6 Расчёт мощности и токов, потребляемых блоком гальванической развязки от каждого источника. 31
3.7 Источник питания для логических цепей интерфейсных микросхем. 33
3.8 Разработка системы питания. 35
4 Конструкторское проектирование. 37
4.1 Класс точности печатной платы.. 37
4.2 Решение задачи компоновки и размещения. 37
4.3 Решение  задачи трассировки. 40
4.4 Оценка температуры перегрева дорожек. 41
5 Технико-экономическое обоснование проекта. 43
5.1 Расчет стоимости проекта. 44
5.2 Расчёт цены изделия. 50
5.3 Расчет затрат на стадии эксплуатации. 50
6 Безопасность труда. 52
6.2 Расчет освещенности. 54
6.3 Расчет шума. 57
6.4 Расчет необходимого воздухообмена в помещении. 59
6.5 Возможные чрезвычайные ситуации. 62
Заключение. 68
Список использованных источников. 69
Приложение А.. 71
(обязательное) 71
Перечень элементов. 71
 
 

 
Введение
 
В последние годы все больше и больше месторождений нефти и газа, становятся выработанными и отнесенными к категории нерентабельных, а многие новые разведанные запасы нефти и газа располагаются в границах особо охраняемых природных территорий и в шельфовой зоне. Их разработка связана с проблемами, которые обусловлены геологическими, технологическими и организационными трудностями, либо экономическими соображениями. В связи с этим широкое распространение получил метод горизонтального или пологого бурения, эффективность которого была доказана еще в 1980-е годы. Его применение позволяет разрабатывать продуктивные пласты углеводородов (УВ) даже небольшой мощности на большом удалении от места забуривания, причем, без какого-либо воздействия на окружающую среду, непосредственно над месторождением.
Важнейшее место в строительстве горизонтально направленных скважин занимает инклинометрия (определение угла и азимута искривления буровой скважины с целью контроля её пространственного положения), которая осуществляется с помощью различных электронных систем, эти системы устанавливаются непосредственно над долотом и называются забойные телесистемы (ЗТС).
Одной из существующих проблем при проведении инклинометрии строящихся скважин является передача информации от ЗТС к пульту оператора. В современных ЗТС применяются каналы связи, которые либо обладают малой надежностью и трудны в эксплуатации (кабельный), либо малой скоростью передачи информации (электромагнитный, гидравлический).
Целью дипломного проекта является разработка барьерного блока - блока искрозащиты и гальванической развязки между блоком обработки данных, находящемся на поверхности, и датчиками ЗТС, находящимися во взрывоопасной зоне газовой среды.
В первой главе дипломного проекта приведен анализ существующей  ЗТС системы "Insite", выполнен обзор существующих на рынке барьерных блоков искрозащиты.
 
 
1 Проектно-пояснительная часть
 
1.1                Общие сведения о забойных телеметрических системах
 
Забойные телеметрические системы (ЗТС) применяются для измерения навигационных параметров бурения (данные инклинометрии) и передачи их на поверхность. Эта информация используется для непрерывного контроля процесса бурения и является основополагающей для построения ствола скважины.
Первые телеметрические системы измеряли только инклинометрические параметры (ориентацию по магнитному и гравитационному полям), в последствии ЗТС стали измерять  технические параметры (давление бурового раствора, частоту вращения ротора, температуру) и информации о геологической ситуации в проходимом пласте (гамма-фон, комплексное сопротивление пласта). 
В современных  ЗТС применяются несколько каналов связи:
-       кабельный;
-       гидравлический;
-       электромагнитный.
 
1.2                Обоснование необходимости модернизации приемной части телеметрической системы с гидравлическим каналом связи
 
Для понимания сути проблемы и хода решения возникших задач рассмотрим структуру наземного комплекса системы «Insite» (рисунок 1.1).
На схеме можно условно выделить источники информации, вычислительный блок и устройства вывода.
В качестве источников информации выступают датчики давления, глубиномера и веса на крюке. Датчик давления – основной, обеспечивает непрерывный прием сигнала от забойного блока телесистемы.
Датчик давления через барьерный блок (в нем организована гальваническая развязка и защита от перегрузок в цепях) подключается к блоку предварительной обработки сигнала SPM (Signal Processing Module). Модуль SPM – это специализированная карта-приемник для промышленных компьютеров. Он выполняет оцифровку, фильтрацию сигнала и декодирование данных [1].
Вычислительный блок системы –­ специализированная ЭВМ «Sperry-sun» старого поколения. Она собирает данные с датчиков и выводит на монитор.

БД - блок датчиков, ББ - блок барьерный, SPM - Signal Processing Module (модуль обработки сигналов), ЦЭВМ - центральная ЭВМ «Sperry-sun», К – коммутатор, Т - терминалы, ПУ - печатающее устройство (графопостроитель)
Рисунок 1.1 – Структурная схема наземного комплекса системы  «Insite»
 
Наземный  комплекс системы "Insite" имеет ряд недостатков:
-         «Insite» является разработкой 80-х годов прошлого века, и его стоимость в текущих ценах составляет около 7 млн. рублей;
-         для ремонта электронных узлов барьерного блока собственными силами требуются устаревшие радиоэлементы и комплектующие, снятые с производства;
-         при выходе из строя одной из плат ЦЭВМ затраты и сроки ремонта возрастали из-за необходимости отправки платы в сервисный центр за границу, а в настоящее время такой ремонт невозможен из-за отсутствия запасных комплектующих;
-         расходные материалы для графопостроителя (картриджи с фломастерами и специальная бумага) труднодоступны, так как сняты с производства;
-         не предусмотрен экспорт данных каротажа для их отправки заказчику в электронном виде.
Данное оборудование обладает большими габаритами и массой, что является большим недостатком.
Однако существенным достоинством всей системы "Insite" в целом является высокая стабильность и безотказность работы остального оборудования при исправном барьерном блоке и ЦЭВМ.
Возможные варианты устранения недостатков:
-  замена системы "Insite" существующими на рынке импортным;
-  замена системы "Insite" существующими на рынке отечественными;
-  замена только барьерного блока и ЦЭВМ существующими на рынке;
-  замена только ЦЭВМ и разработка барьерного блока своими силами[1].
 
1.3                 Обзор современных видов телесистем, применяемых при бурении горизонтальных и наклонно-направленных скважин
 
Высокий уровень цен, диктуемый европейским сообществом  стран-производителей, не позволяет в полном объёме оснастить все центры горизонтального бурения. Например, стоимость комплекта телеметрической системы фирмы Sperry Sun составляет порядка одного миллиона долларов США.  
Из-за отсутствия сервисных центров по ремонту вышедшего из строя оборудования на территории России необходимо вызывать специалистов, либо отправлять отказавший прибор производителю, что резко увеличивает стоимость ремонта.
Использование импортного оборудования  в большинстве случаев несет в себе скрытые проблемы для потребителя. Так, эксплуатируемые в филиале «Центр горизонтального бурения», телеметрические системы «Insite» фирмы «Sperry-sun» с гидравлическим каналом связи уже на момент поставки в 1994 году были морально устаревшими. Как правило, наземные программно-технические комплексы телесистем зарубежных производителей построены на специализированных ЭВМ(Sperry-Sun-Systel Model SSC4100), которые можно приобрести только у самих производителей. Цены на указанные ЭВМ (Sperry-Sun-Systel Model SSC4100) завышены в десятки раз. Кроме того, при снятии с производства данной модели ЭВМ (Sperry-Sun-Systel Model SSC4100) производитель меняет и программное обеспечение, вынуждая тем самым покупать вместе с новыми ЭВМ(Sperry-Sun-Systel Model SSC4100) и новые программы по очень высоким ценам. На данный момент, наземное оборудование системы «Insite» считается громоздким, устаревшим и неудовлетворяющим требованиям заказчика в полной мере.
Таким образом, всё вышеизложенное говорит о нецелесообразности закупки импортных телесистем по следующим причинам:
-         из-за высокой стоимости;
-         необходимости закупки дорогостоящих импортных специализированных ЭВМ;
-         необходимости закупки новых версий программного обеспечения.
Стоимость отечественных телесистем гораздо ниже зарубежных и с каждым годом их точность и технологичность приближается к зарубежным аналогам. Но российский рынок по потреблению телесистем очень велик, и на сегодняшний день он далеко не полностью удовлетворяют технические требования бурильщиков.
Одна из наиболее подходящих к требованиям бурильщиков отечественных систем - разработка НИИ  "Пилот”  г. Уфы – УНПТК. Его стоимость примерно 750 тыс., но существенный недостаток – отсутствие возможности записи каротажных данных с привязкой по глубине, что в итоге не позволяет ему быть полнофункциональным регистратором. При использовании необходим коммерческий программный продукт  - MATLAB, что приводит к дополнительным денежным затратам. Также минусом российской разработки является отсутствие барьерного блока с гальванической изоляцией передачи дискретных сигналов из взрывоопасной зоны, в взрывобезопасную, так как в нашем случае это проблема стоит  на первом месте.
 
 
1.4                Анализ требований ГОСТ Р 51330.10-99 (МЭК 60079-11-99) взрывозащиты вида i "Искробезопасная электрическая цепь"
 
 
Ниже приведены некоторые выдержки требований ГОСТ Р 51330.10-99 (МЭК 60079-11-99) взрывозащиты вида i "Искробезопасная электрическая цепь",  касающиеся разрабатываемого устройства [21].
«Конструкция разъемов, предназначенных для подключения внешних искробезопасных цепей, должна отличаться от конструкции других разъемов и не должна быть взаимно заменяемой. Конструкция разъема должна исключать возможность неправильного соединения, например, с помощью направляющих штифтов или гнезд.»
«Искробезопасные и гальванически связанные с ними искроопасные цепи должны быть электрически (гальванически) изолированы от силовой, сигнальной или осветительной сетей переменного тока.»
«Искроопасные цепи должны быть защищены от превышения напряжения до взрывоопасных значений.»
«Температура нагрева искроопасных цепей должна быть на порядок быть меньше температуры воспламенения окружающей взрывоопасной среды.»
Выполним анализ существующих барьеров искрозащиты в следующих направлениях:
-  насколько полно они удовлетворяю перечисленным требованиям искрозащиты;
-  насколько полно их электрические характеристики удовлетворяют требованиям ТЗ;
-  насколько полно он решают задачи, перечисленные в ТЗ;
-  стоимость барьера.
 
 
1.5                Анализ существующих барьеров искрозащиты
 
В ходе анализа были рассмотрены барьеры искрозащиты отечественных и зарубежных фирм «Азимут-04», «ОВЕН», «ЕвроПрибор», «MTL Instruments», «Стэнли», «ЛенПромАвтоматика», «Insite», «PEPPERL+FUCHS».
По результатам анализа получены выводы, приведённые ниже.
Барьер искрозащиты определённой марки может работать с сигналами либо только с дискретными, либо только с аналоговыми.
Барьеры искрозащиты относительно невысокой стоимости – от 600 до 2000 рублей – выпоняют только функцию ограничения напряжения и являются пассивными.
Барьеры искрозащиты с гальванической развязкой имеют стоимость:
-  аналоговые – свыше 20 тысяч рублей для двух каналов;
-  дискретные – свыше 14 тысяч рублей для двух каналов.
 
1.6                Цель дипломного проекта
 
На основании полученных в предыдущем разделе выводов принято решение разработать барьерный блок своими силами.
Согласно ТЗ, в настоящем дипломном проекте необходимо разработать барьерный блок. Устройство должно совмещать в  себе все достоинства вышеперечисленных устройств и одновременно необходимо исключить их недостатки, в том числе их высокую стоимость.
При разработке барьерного блока необходимо решить следующие задачи:
-  гальванически развязать выходы датчиков ЗТС и входы блока SPM, а также систему питания входных и входных цепей измерительных каналов в барьерном блоке;
-  определить  максимальное безопасное значение напряжения во взрывоопасной среде и предусмотреть цепи ограничения напряжения до меньших значений во входных искроопасных цепях;
-  определить температуру воспламенения взрывоопасной среды и при разработке измерительных каналов и конструкции устройства обеспечить достаточно малую температуру перегрева;
при выборе соединителей учесть требования пункта 1).
 
2 Разработка структурной схемы
 
 
Согласно ТЗ и поставленным в первом разделе задачам гальванические развязки разного вида должны присутствовать :
-  в четырёх аналоговых каналах с сигналом тока (блоки БГР1 – БГР4 структурной схемы рисунка 2.1);
-  в двух цифровых каналах сигналов направления (блоки БГР A и БГР B);
-  в канале связи по интерфейсу RS-232 (блоки БГР Tx-Rx и БГР Rx-Tx).
 

 
Рисунок 2.1 – Функциональная схема барьерного блока
 
Для питания входных цепей каждого канала необходимо применить импульсные DC-DC преобразователи исходного источника +24 В, причём выходы преобразователей также должны быть изолированы от указанного источника.
Для защиты датчиков искробезопасной среды от перенапряжения на входе каждого необходимо подключить ограничители напряжения. Как правило, они реализуются стабилитронами, но идеальный вариант – это супрессор – элемент с той же ВАХ, что и стабилитрон, но с задержкой переключения менее 1 мкс.
 
 
2.1 Аналоговые каналы
 
 
Необходимость применения в аналоговом канале блока преобразования «ток - напряжение» (рисунок 2.1) обусловлена тем, что согласно ТЗ, на входе поступает сигнал тока, а на выходе требуется получить сигнал напряжения.
Блоки суммирования должны сложить сигнал с выхода преобразователя «ток - напряжение» с составляющей, соответствующей значению тока 4 mA, но с обратным знаком.
Результат «сложения» проходит дальше через масштабирующий усилитель для согласования сигнала с уровнем напряжения на входе блока цифровой обработки, указанном в ТЗ.
Следующий блок – непосредственно блок гальванической развязки (рисунок 2.1). Гальваническая развязка – это передача энергии или сигнала между электрическими цепями без электрического контакта между ними.
Гальваническая развязка используются при передаче сигналов, при бесконтактном управлении. Целесообразна для  защиты людей и оборудования от поражения электрическим током.
В  качестве полной гальванической развязки используются либо трансформаторная, либо оптическая виды развязок. В обоих случаях цепи оказываются электрически разделенными, но между ними возможна передача энергии и информации.
Оптическая развязка реализуется оптопарами или оптронами, состоящими из светодиода, переход которого смещен в прямом направлении и излучает свет в определённом диапазоне длин волн. Излучаемый свет воспринимается фотоприёмником. Таким образом, может передаваться логическая информация («свет есть» и «света нет») между цепью, связанной со светодиодом, и цепью, связанной с фотоприёмником, но электрически эти цепи изолированы.
Передача же непрерывного аналогового сигнал затруднена из за:
-  нелинейной зависимости проводимости фотоприёмника от интенсивности света;
-  нелинейной зависимости интенсивности света от тока светодиода.
Таким образом, оптическую развязку возможно применить только в дискретных каналов сигналов «A» и «B».
Гальваническая развязка трансформаторного типа. Предполагает использование магнитоиндукционного элемента с сердечником или без него, напряжение вторичной обмотки которого пропорционально напряжению на входе устройства. Указанный тип электронной развязки обладает высокой линейностью. Но передаваемые аналоговые сигналы – медленные. Частотный диапазон непрерывных аналоговых сигналов тока – 0.25…0.8 Гц, а максимальная частота импульсов глубиномера – 10 Гц. Первичная обмотка трансформатора будет шунтировать такие сигналы[5].
Однако в измерительной электронике такую проблему решили методом широтно-импульсной (ШИМ) модуляции – демодуляции с трансформаторной развязкой. Значения напряжения медленно меняющегося сигнала преобразуется в длительности высокочастотного импульсного сигнала, поступает далее на импульсный трансформатор и демодулируется с помощью ФНЧ на RC-цепочках.
Описанный метод в настоящее время уже реализуется в интегральных микросхемах, называемых изолирующими усилителями[3].
 
2.2 Цифровые каналы
 
 
Для реализации гальванической развязки цифровых каналов достаточно применения оптопар.
Также необходимы входные и выходные усилители линий для усиления входного ослабленного сигнала и увеличения нагрузочной способности выходов[4].
 
 
2.3 Канал связи
 
 
Реализация блоков гальванической развязки «БГР Rx-Tx» и «БГР Tx-Rx» оптическим способом нецелесообразна. Время переключения современных дешёвых оптопар – порядка 100 мкс. Соответственно, переключаться они могут с частотой менее 5 кГц. Это значительно меньше минимальной скорости передачи – 9600 бод в секунду.
В настоящее время выпускаются интерфейсные микросхемы преобразователей RS232 – TTL, в которых кроме функции преобразования реализованы функции шинного формирователя и гальваническая развязка каналов «Rx» и «Tx» методом ШИМ модуляции-демодуляции. Ввиду высокой частоты ШИМ- сигнала диаметр импульсных трансформаторов не превышает 3 мм, соответственно, невелики и размеры микросхем преобразователей.
Реализация блоков развязки «БГР Rx-Tx» и «БГР Tx-Rx» методом ШИМ модуляции-демодуляции предпочтительнее чисто трансформаторного, так как для приёма и передачи данных на самой низкой скорости – 9600 бод в секунду – потребуется в сотни раз больших объёмов сердечники трансформаторов.
Для согласования  интерфейсных сигналов с линией на второй стороне потребуется ещё один шинный формирователей, включающий в себя входной и выходной усилители[2].
 
 
 
 
 
2.4 Система питания каналов барьерного блока
 
 
Указанные на функциональной схеме рисунка 2.1 БГРП1 – БГРП4 –DC-DC преобразователи с гальванической изоляцией небольшой мощности – не более 1 Вт и входным напряжением +24 В. Имеет смысл рассмотреть следующие известные типы подобных преобразователей:
-  однотактный обратноходовой;
-  двухтактный со средней точкой;
-  двухтактный полумостовой;
-  двухтактный мостовой.
Однотактный обратноходовой преобразователь (ООП) - разновидность статических импульсных преобразователя напряжения с гальванической развязкой первичных и вторичных цепей (рисунок 2.2). Основным элементом обратноходового преобразователя является многообмоточный накопительный дроссель Т1, который часто называют трансформатором.
 

Рисунок 2.2 – Пример электрической функциональной схемы однотактного обратноходового преобразователя
 
Различают два основных этапа работы схемы: этап накопления энергии дросселем от первичного источника электроэнергии и этап вывода энергии дросселя во вторичную цепь (вторичные цепи).
При замыкании ключа – отпирании транзистора VT1 – к первичной обмотке дросселя прикладывается напряжение источника питания . В дросселе начинает нарастать магнитный поток, следовательно, накапливаться энергия. При запирании ключевого элемента (отключении первичной обмотки от источника питания) ток через первичную обмотку дросселя резко уменьшается, наводя на вторичную обмотку ЭДС, отпирающую диод VD1. Во вторичной цепи начинает протекать ток, который заряжает конденсатор C1 и питает нагрузку Rн. Во время первого этапа (этапа накопления энергии) нагрузка питается только за счет заряда, полученного конденсатором во время второго этапа. Импульсы тока в первичной цепи повторяются с частотой от 1 кГц до 150 кГц (в зависимости от типа преобразователя). В результате во вторичной обмотке протекает ток пилообразной формы.
Регулирование напряжения, питающего нагрузку, осуществляется за счёт изменения длительности импульсов тока в первичной обмотке. Некоторые микросхемы для таких преобразователей не имеют полноценного широтно-импульсного модулятора (ШИМ — когда для изменения выходного напряжения изменяется длительность импульса от 50…70 % периода до 0) и работают в «старт-стопном» режиме. То есть микросхема постоянно работает с максимальной мощностью, если напряжение повысилось выше порога переключения — микросхема отключается и перестает «закачивать» импульсы в трансформатор до тех пор, пока оно не понизится, после чего снова начинает работать с максимальной мощностью. Такой режим работы, по сравнению с ШИМ, создает много помех, выходное напряжение сильно пульсирует, увеличивается нагрузка на сглаживающий конденсатор, силовой транзистор, выпрямительные диоды, но для заряда аккумуляторов, питания цифровых схем это несущественно.
Практическое применение ООП находодят при питании нагрузки с потребляемой мощностью от 1 до 300 Вт, но чаще применяется для питания устройств с мощностью от 1 до 30 Вт.
Перечисленные в начале раздела двухтактные преобразователи более совершенны и применяются для питания нагрузки с потребляемой мощностью от 100 до 1500 Вт.  Однако схемы управления такими преобразователями более сложна, а указанный диапазон выходных мощностей не требуется. Поэтому от реализации DC-DC преобразователей на двухтактных преобразователях целесообразней отказаться.
Современной промышленностью выпускаются модули DC-DC-преобразователей небольшой мощности, в которых происходит двойное преобразование входного постоянного напряжения. Оно реализовано следующим образом:  сначала входное напряжение проходит через интегрированный фильтр, затем понижается до некоторого базисного уровня в первичном импульсном понижающем преобразователе и после этого повышается или понижается до требуемого значения во вторичном преобразователе с гальванической развязкой - ООП. В модулях DC-DC-преобразования применяется цепь обратной связи, которая обеспечивает коррекцию выходного напряжения в зависимости от внешних факторов: температуры окружающей среды, изменения входного напряжения, изменения сопротивления нагрузки.
Модули DC-DC преобразователей обладают множеством преимуществ:
-  высоким КПД;
-   малой погрешностью стабилизации выходного напряжения (нестабильность в пределах от минус 1,5 до +1,5 %);
-  высоким сопротивлением изоляции (не менее 30 МОм);
-  и напряжением пробоя между входом и выходом (более 2 кВ постоянного тока);
-  защитой от превышения входного напряжения и короткого замыкания в выходной цепи;
-  защитой от перегрева;
-   устойчивой работой в широком диапазоне температур (от минус 40 до 100°С у большинства преобразователей.
В рассмотренных готовых модулях DC-DC преобразователях уже используются узел ООП, а выходное напряжение достаточно стабильно. Поэтому принимается решение – выполнить блоки БГРП1 – БГРП4 на готовых модулях DC-DC преобразования.
 
 
3 Схемотехническое проектирование
 
3.1 Разработка схемы аналоговых каналов
 
На рисунке 3.1 представлена схема аналогового канала. Схема одинакова для передачи всех четырёх аналоговых сигналов, так как диапазоны входных параметров и требуемый диапазон выходных параметров для всех четырёх одинаков.

Рисунок 3.1 – Схема аналогового канала
 
Цепочка R31, VD3 – ограничитель напряжения. Резистор R31 – ограничитель тока или резистор-предохранитель с номиналом 0,51 Ом. Элемент VD3 – супрессор (быстродействующий стабилитрон) P6KE6.8CA, параметры которого – в таблице 3.1.
 
Таблица 3.1 – Электрические параметры супрессора P6KE6.8CA








Название параметра
Значение
Обратное импульсное напряжение в состоянии «защиты», В
6,45 – 7,14
Начальное напряжение пробоя, В
5,8
Номинальный ток пробоя,  мА
100
Максимальное пиковое значение импульсного тока, А
57
Ток утечки при U=5V, мка
10
Время перехода в состояние защиты, мкс
10
Время возврата в закрытое состояние, мс
4
 
Аналогичное назначение и тот же состав элементов имеют цепочки R32 – VD4, R33 – VD5 и R34 – VD6 электрической принципиальной схемы блока гальванической развязки графической части.
Резистор R3 схемы рисунка 3.1 выполняет функцию преобразователя ток-напряжение, дифференцирующий усилитель на операционном усилителе DA2.1 и резисторах R2, R12 – сумматора и масштабирующего усилителя функциональной схемы рисунка 2.1.
При значении R3 = 250 Ом – промежуточном значении диапазона допустимых сопротивлений нагрузки источников сигнала тока 4 … 20 мА – на зажимах преобразователя получаются значения напряжения в диапазоне
 

 
Составим уравнение преобразования дифференцирующего преобразователя. По первому закону Кирхгоффа для инвертирующего вывода:


Согласно требованиям ТЗ:
-         при  или  - ;
-         при  или  - .
Составим систему уравнений:

 

 

Задав R2=150 кОм и используя второй результат (3.3), вычислим R12

Аналогичные значения примут резисторы остальных трёх каналов

 

 
а также значения резисторов – преобразователей «ток-напряжение»:

Оценим погрешность передачи, вносимую цепочкой ограничения напряжения R31 – VD3 и преобразователем «ток - напряжение» R3. Погрешность, вносимая резистивным делителем R31 – R3:

 
Погрешность, вносимая током утечки  супрессора VD3:

 
Суммарная погрешность:

В качестве операционного усилителя DA2.1 используем прецизионный быстродействующий операционник OP285 (рисунок 3.2). Его параметры – в таблице 3.2.

Рисунок 3.2 – Назначение выводов операционного усилителя OP285 (корпус PDIP8)
 
Таблица 3.2 – Параметры операционного усилителя OP285










Название параметра
Значение
Максимальное напряжение питания, В

Минимальное напряжение питания, В

Коэффициент усиления
200000
Напряжение смещения нуля, мкВ
250
Дрейф напряжения смещения,
1
Входной ток, нА
350
Разность входных токов, нА
50
Наибольшая скорость нарастания напряжения,
22
Граничная частота полосы пропускания (при единичном усилении, по уровню -3 дБ), МГц
9
 
Оценим составляющие погрешности передачи аналоговых сигналов, вносимые указанным операционным усилителем. Погрешность, вносимая напряжением смещения нуля:

Погрешность, вносимая входным током
 

 
Определим приращение температуры, при котором погрешность, вносимая дрейфом напряжения смещения, не превысит 0,0028 %, то есть указанный фактор не будет влиять на погрешность передачи.

 

 
Из результата (3.10) следует, что и в полевых условиях температура окружающей среды не внесёт значительной погрешности при передаче сигнала через усилители OP285.
Суммарная погрешность, вносимая усилителем DA2.1, определяется погрешностью от разности входных токов

 
В качестве изолирующего усилителя DA6 использована микросборка марки ISO122P (рисунок 3.3). Его параметры – в таблице 3.3.

Рисунок 3.3 – Назначение выводов изолирующего усилителя ISO122P
 
Таблица 3.3 – Параметры изолирующего усилителя ISO122P








Название параметра
Значение
Максимальное напряжение питания входной и выходной части, В

Минимальное напряжение питания входной и выходной части, В

Коэффициент передачи
1
Напряжение смещения нуля, мВ
20
Дрейф напряжения смещения,
200
Наибольшая скорость нарастания напряжения,
2
Граничная частота полосы пропускания (при единичном усилении, по уровню -3 дБ), кГц
50
 
Составляющие погрешности передачи аналоговых сигналов, вносимые изолирующим усилителем. Погрешность, вносимая напряжением смещения нуля:

 
Определим приращение температуры, при котором погрешность, вносимая дрейфом напряжения смещения, не превысит 0,56%, то есть указанный фактор не будет влиять на погрешность передачи.

 

 
Из результата (3.12) следует, что и в полевых условиях – в диапазоне температур от минус 63°С до +63°С погрешность от дрейфа напряжения смещения не превысит 0,56%.
Суммарная погрешность, вносимая усилителем DA6

 
Суммарная погрешность передачи, вносимая схемой рисунка 3.1:

 
3.2 Разработка и расчёт источника вычитаемого напряжения
 
Источник указанного напряжения , построим по типовой схеме (рисунок 3.4). В качестве элемента VD3 используется стабилитрон Д818Е, параметры которого – в таблице 3.4.
 

Рисунок 3.4 – Источник опорного напряжения
 
Таблица 3.4 – Параметры стабилитрона Д818Е




Название параметра
Значение
Напряжение стабилизации, В
8,55 – 9,45
Ток стабилизации,  мА
3 - 33
Температурный коэффициент напряжения стабилизации,
0,001
 
Экспериментально подберём экземпляр стабилитрона с напряжением стабилизации . Задавшись током стабилизации , вычислим R1

 
Требуемый коэффициент передачи
 

 

Задавшись R11=10 кОм, на основании (3.17) вычислим R18
 

Принимаем для R18 значение из ряда E192 для допуска  
 
R18 = 464 Ом.
 
В качестве усилителя DA1.1 используется операционный усилитель OP285.
Оценим погрешность передачи аналоговых каналов, вносимую источником опорного напряжения.
Погрешность, обусловленная случайным отклонением номиналов резисторов R18 и R11, не превышает величины  .
Погрешность, обусловленная отклонением номинала R18 от расчётного, не превысит величины

По опыту анализа погрешности, вносимой операционным усилителем OP285, его погрешность обусловлена  только входным током

 
Суммарная погрешность источника опорного напряжения
 

 
 
 
 
3.3 Суммарная погрешность передачи аналоговых величин
 
 

 
 
3.4 Разработка узлов цифрового канала
 
Схема цифровых каналов – на рисунке 3.5.
 

Рисунок 3.5 – Электрическая принципиальная схема цифровых каналов
 
Расчёт цепи ограничения входного напряжения VD1 и VD2. В качестве активного элемента-ограничителя использован стабилитрон КС156А.
Технические параметры стабилитрона КС156А:
-  мощность рассеяния, 0.3 Вт;
-  напряжение стабилизации, В  ………………….  5,0 – 6,2;
-  диапазон допустимых значений токов стабилизации, мА …5 – 40.
Определим максимальный ток стабилитрона при наличии максимальной фоновой помехи . Согласно источнику [2] минимальное эквивалентное сопротивление эквивалентного источника помехи . Отсюда ток стабилитрона VD1 или VD2:

В качестве входных шинных формирователей DD1.1 и DD1.2 использованы триггеры Шмидта на микросхеме КР561ТЛ1. Микросхема КР561ТЛ1 содержит по четыре независимых триггера Шмидта с логикой 2И на входе. Особенностью этих ИС является то, что они переключаются при определенном уровне напряжения на входе, т.е. обладают формирующим свойствами и могут работать при любой крутизне фронтов входного сигнала. Их можно применять для формирования прямоугольных импульсов с крутыми фронтами из входного зашумлённого сигнала произвольной формы. Помимо прямого назначения, ИС типа ТЛ1 могут использоваться в качестве элементов 2И-НЕ с повышенной помехоустойчивостью.
Особенностью триггеров Шмитта является также то, что они имеют два порога: верхний для включения и нижний для отпускания. Величина гистерезиса  – разность между порогами включения и отпускания составляет порядка 0.6 В при напряжении питания VCC.=5 В.
Таким образом, элементы DD1.1 и DD1.2 могут из зашумлённого входного логического сигнала с размахом шума  с размытыми ослабленными фронтами позволяют получить логический сигнал с крутыми фронтами и меньшим уровнем шума.
В качестве выходных шинных формирователей DD2.1 и DD2.2 целесообразно также использовать триггеры Шмидта, так как имеет большее значение гистерезиса , чем транзисторный ключ оптопары. Так как линия связи между выходом цифрового канала и входом системы обработки данных – межплатное проводное соединение типа «витая пара» внутри одного корпуса, длиной не более 10 см. Поэтому выходного тока  триггера Шмидта той же марки – КР561ТЛ1 – достаточно для передачи сигналов на указанное расстояние[7].
Выходные резисторы R27 = R28 = 100 Ом для согласования с волновым сопротивлением витой пары.
В качестве транзисторных оптопар DA4, DA5 используются отечественные элементы АОТ128Б. Параметры оптопары – в таблице 3.4.
 
 
Таблица 3.4 – Электрические  параметры транзисторной оптопары АОТ128Б







Наименование параметра
Значение
Входное напряжение (прямое напряжение светодиода) , В
1,6
Минимальный входной ток, мА
5
Максимальный входной ток, мА
40
Выходное остаточное напряжение, В
0,4
Максимальное коммутируемое напряжение, В
30
Максимальный ток коллектора , мА
32
Расчёт ограничивающих резисторов R16 и R17. Зададимся током светодиода  . Отсюда
 

 
Принимаем .
Для уменьшения остаточного напряжения зададим ток коллектора транзистора в открытом состоянии . Отсюда
 
      
Принимаем R23 = R25 =2100 Ом.
 
 
3.5 Разработка канала связи
 
 
Цепи ограничения напряжения R34-VD9 и R35-VD11 (рисунок 3.6) аналогичны цепи, рассмотренной в подразделе 3.1 цепи R31-VD3:
-  защитные резисторы ;
-  элементы VD11, VD9 – супрессоры P6KE6.8CA.

Рисунок 3.6 – Канал связи
Функцию преобразователя RS232-TTL с гальванической развязкой выполняет микросхема DD2 – ADM3251 (рисунок 3.7). Для функциональной схемы рисунка 2.1 в ней реализуются функции блоков развязки «БГР Rx-Tx» и «БГР Tx-Rx», а также входного и выходного шинных усилителя на стороне системы обработки данных. В таблице 3.5 – назначение выводов микросхемы, в таблице 3.6 – её характеристики.

Рисунок 3.7 – Функциональная внутренняя схема приёмопередатчика по интерфейсу RS232 с гальванической изоляцией ADM3251

 
 
Таблица 3.5 – Назначение выводов приёмопередатчика ADM3251














Номера выводов
Наименование
Назначение
1
NC
Не используется
2,3
VCC
«Плюс» источника питания логических схем
4,5,6,7,10
GND
Вывод «земля» логических схем
8

Логический КМОП выход приёмника
9

Логический ТТЛ/КМОП вход передатчика
11
GND_ISO
Аналоговая «земля» (изолирована от логической)
12
V-
«-» внутреннего изолированного источника питания аналоговых цепей
13, 14
С2-, С2+
Инверсный и прямой выводы подключения внешней перезарядной ёмкости инвертора. Рекомендуемое значение , максимальное – .
15

Интерфейсный (RS232) вход приёмника
16

Интерфейсный (RS232) выход передатчика
17, 18
С1-, С1+
Инверсный и прямой выводы подключения внешней перезарядной ёмкости инвертора. Рекомендуемое значение , максимальное – .
19
V+
«+» внутреннего изолированного источника питания аналоговых цепей
20
V_ISO
«+» изолированного источника.
 
Таблица 3.6 – Электрические параметры приёмопередатчика ADM3251













Наименование параметра
Значение
VCC, В
3 – 3.7
V_ISO, В
3 – 5,5
Максимальное значение V+, В
13
Максимальное значение |V-|, В
13
Максимальный потребляемый ток , мА
148
Максимальный потребляемый ток , мА
8
Входной ток , мкА
-10 – 10
Максимальный уровень  «0» на входе, В

Минимальный уровень  «1» на входе, В

Максимальный уровень  «0» на выходе, В
0,4
Минимальный уровень  «1» на выходе, В

Выходное сопротивление передатчика интерфейсной части, Ом
300


Шинные усилители формирователи со стороны буровой реализованы с помощью приёмопередатчика DD1 – MAX232 (рисунки 3.8, 3.9). В таблице 3.7 – её характеристики.
 
Таблица 3.7 – Электрические параметры микросхемы MAX232











Наименование параметра
Значение
VCC, В
4,5 – 5,5
Максимальное значение V+, В
13
Максимальное значение |V-|, В
13
Максимальный потребляемый ток , мА
8
Входной ток , мкА
200
Максимальный уровень  «0» на входе, В

Минимальный уровень  «1» на входе, В

Максимальный уровень  «0» на выходе, В
0,8
Минимальный уровень  «1» на выходе, В

Выходное сопротивление передатчика интерфейсной части, Ом
300

Рисунок 3.8 – Расположение выводов микросхемы MAX232
 

Рисунок 3.9 – Функциональная схема приёмопередатчика MAX232
 
 
3.6 Расчёт мощности и токов, потребляемых блоком гальванической развязки от каждого источника
 
 
Источник   – является источником питания для операционных усилителей  DA1 – DA3 марки OP285 и входной частью изолирующих усилителей DA6 – DA9 марки ISO122P, а также для источника опорного напряжения R1-VD3.
Ток, потребляемый одним операционным усилителем –  одним изолирующим усилителем –  источником опорного напряжения

 
Суммарные токи:
 


 
Потребляемые мощности:


 
Источник   – источник питания для выходной части изолирующих усилителей DA6 – DA9, а также через линейный стабилизатор DA10 – источник для триггера Шмидта DD2 марки КР561ТЛ2 и двух выходных транзисторов оптопар DA4 и DA5 марки АОТ128. Ток, потребляемый одним изолирующим усилителем –  триггером Шмидта – , одним транзистором оптопары

Суммарные токи:
 


 
Потребляемая мощность:


Источник   – источник питания триггера Шмидта DD2 марки КР561ТЛ2. Ток, потребляемый триггером Шмидта – , потребляемая мощность
 

 
Источник   для питания интерфейсных микросхем схемы блока питания – DD1 марки MAX232 и DD2 марки ADM3251. Ток, потребляемый микросхемой ADM3251 – , потребляемый микросхемой MAX232 – . Суммарный ток
 

 
Суммарная мощность
 

 
Полная мощность, потребляемая от источник +24 В
 

 
Максимальный ток источника +24 В
 

 
Результаты анализа потребляемых мощностей и токов в таблице 3.8
 
 
 
 
 
 
Таблица 3.8 – Токи и мощности, потребляемые от источников








Источник
Напряжение, В
Потребляемый от источника ток, мА
Потребляемая от источника мощность, Вт
+U
+12
57,4
0,67
-U
-12
48
0,58
+U2
+12
31,4
0,377
-U2
-12
28
0,336
+5V_Mest
+5
2,4
0,012
+5V
+5
155
0,78
+24V
+24
115
2,76
 
 
3.7 Источник питания для логических цепей интерфейсных микросхем
 
 
Источник для питания логических узлов интерфейсных микросхем DD1, DD2 реализован понижающим импульсным преобразователем (чоппером) с входным напряжением  и выходным – . Преобразователь состоит из элементов:
-  мощных, которых протекают энергетические процессы преобразования – это выходной транзистор DC-DC-преобразователя DA4, диод Шотки VD3, дроссель L1, фильтрующий конденсатор C13;
-  управляющих – резисторов обратной связи R8, R9, времязадающего конденсатора C10, токоограничивающего резистора R10, управляющих цепей DC-DC-преобразователя DA4.
Напряжение обратной связи с резистивного делителя R8-R9 сравнивается в компараторе DC-DC-преобразователя (рисунок 3.10) сравнивается с внутренним опорным напряжением , и в зависимости от величины разницы корректируется коэффициент заполнения внутреннего управляющего ШИМ-сигнала. Для получения на выходе чоппера U2=5 В для резисторов делителя необходимо задаться соотношением:
 


 
Задавшись   получим R8 = 3,6 кОм.
 

Рисунок 3.10 – Функциональная схема DC-DC-преобразователя КР1156ЕУ5
 
Расчёт дросселя L1 выполняется на основе выражения для катушки при пограничном режиме:

 
где  – коэффициент заполнения ШИМ-сигнала,
 – частота ШИМ-сигнала,
 – суммарный ток нагрузки.
 

 
В соответствии с рекомендациями [3], принимаем
 

 
Фильтрующий конденсатор C13 определим с помощью выражения из того же источника [3]
 

 
где q2 = 0,01 – коэффициент пульсаций.
 

 
Принимаем C13=2200 мкФ.
 
 
3.8 Разработка системы питания
 
 
Для получения однополярного напряжения «+5V_Mest» используется модуль DC-DC преобразователя DA1 марки TEN20 2411.
В таблице 3.9 расписано назначение выводов модуля, в таблице 3.10 – его параметры.
 
Таблица 3.9– Назначение выводов преобразователя DA1 марки TEN20 2411







Номера выводов
Наименование
1
+Vin (Vcc)
2
–Vin (GND)
3
+Vout
4
No pin
5
–Vout
6
–Vout
 
Таблица 3.10–Электрические параметры преобразователя DA1 марки TEN20 2411




Наименование параметра
Значение
диапазон входного напряжения
18 – 36
выходное напряжение
5
выходной ток максимальный
4‘000 mA
 
 
 
 
 
 
 
 
Для получения двуполярных напряжений «±U» и «±U2» используется модули DC-DC преобразователей DA2 и DA3 марки TEN20 2422 .
В таблице 3.11 расписано назначение выводов модулей, в таблице 3.12 – их параметры.
 
 
 
 
 
 
 
 
 
 
Таблица 3.11–Назначение выводов преобразователей DA2 и DA3 марки TEN20 2422







Номера выводов
Наименование
1
+Vin (Vcc)
2
–Vin (GND)
3
+Vout
4
Common
5
–Vout
6
–Vout
 
 
Таблица 3.12– Электрические параметры преобразователей DA2 и DA3 марки TEN20 2422




наименование параметра
Значение
диапазон входного напряжения
18 – 36
выходное напряжение
±12
выходной ток максимальный
±835
 
4 Конструкторское проектирование
 
Разработка печатных плат сводится к компоновке и размещению элементов принципиальной схемы на плате и трассировке связей между элементами.
Разработка печатных плат велась в программной среде P-CAD 2006.
Проектирование печатной схемы выполнялось в два этапа:
- 1–й этап – составление библиотеки элементов для среды P-CAD и составление с использованием программы P-CAD Schematic файла схемы принципиальной электрической (*.sch) и файла списка соединений (*.net).
- 2–й этап – компоновка элементов на печатной плате и разводка соединений между элементами с использованием пакета P-CAD PCB.
С использованием программы Simbol Editor.exe, Pattern Editor.exe, Library Executive.exe, входящих в состав пакета P-CAD 2006, создана библиотека my.lib для компонентов и элементов принципиальных схем раздела 2.
С использованием программы Schematic.exe на лист формата А1 введена электрическую принципиальную схему информационных каналов – barbox.sch, и электрическую принципиальную схему DC-DC преобразователей        – barbox_dig.sch.
Используя утилиту Generate Netlist в программе Schematic.exe созданы файл  листинга схемных соединений в формате Netlist.
В программе PCB.exe, используя утилиту Load Netlist, загрузив файлы указанного формата, получили наборы необходимых элементов с реальными посадочными местами с указанием соединений соответственно принципиальной схеме. В этой же программе созданы заготовки печатной платы[6].
 
 
4.1 Класс точности печатной платы
 
 
Класс точности, как одна из характеристик печатной платы, определяет номинальные, минимальные и максимальные значения размеров элементов печатного рисунка (диаметры отверстий, ширины дорожек, , минимально допустимые геометрические размеры элементов печатной платы).
Согласно требованиям и спецификации разрабатываемого устройства решено использовать третий класс точности печатной платы (далее ПП)[11].
 
 
4.2 Решение задачи компоновки и размещения
 
 
В разрабатываемом устройстве предполагается использование двух печатных плат:
-              печатной плата системы питания, где должны быть размещены DC-DC преобразователи и элементы интерфейсного канала;
-              печатная плата информационных каналов с гальванической развязкой, где размещаются элементы аналоговых измерительных каналов и цифровых каналов направления движения долота «A» и «B».
Указанное разделение блоков обусловлено прежним разделением морально устаревших заменяемых блоков в корпусе барьерного блока.
Размеры плат определены конструкцией установочных мест в том же корпусе и указаны на чертежах трассировки графической части. Толщина медной фольги   .
 Так как платы устанавливаются в те же установочные места, на платах используются прежние искробезопасные соединители без изменения назначения выводов разъёмов.
Размещение компонентов на платах выполнялась с помощью программы PCB.exe в ручном режиме. Полученные сборочные чертежи представлены на рисунках 4.1 и 4.2

Рисунок 4.1 – Сборочный чертеж платы гальванической развязки со стороны и монтажа
 
   
 

а)

б)
 
Рисунок 4.2 – Сборочный чертеж платы блока питания со стороны пайки (а) и монтажа (б)
 
 
 
 
4.3 Решение  задачи трассировки
 
 
Трассировка выполнялась с помощью той же программы PCB.EXE в интерактивном режиме, в двух слоях с шагом 1.25 мм.
Так как конструктив содержит в основном дискретные компоненты, был выбран третий класс точности и заданы соответствующие допустимые значения для ширины трасс печатных проводников и зазоров. Используя данные о диаметре выводов компонентов, были определены необходимые размеры контактных площадок.
Ширина дорожек цепей питания – , сигнальных цепей – .
Результаты интерактивной трассировки печатных плат сохранены в файлах barboxan.pcb, barboxdig.pcb, четыре чертежа трассировки представлены на рисунках 4.3 и 4.4.
 
 
                          а)                                                                    б)
Рисунок 4.3 – Чертеж трассировки печатной платы гальванической развязки со стороны пайки (а) и монтажа (б)
 

                               а)                                                              б)
Рисунок 4.4 – Чертеж трассировки печатной платы блока питания со стороны пайки (а) и монтажа (б)
 
4.4 Оценка температуры перегрева дорожек
 
Установившееся значение температуры перегрева  определим из выражения, которое получим из соотношения для закона Джоуля – Ленца:

где  – ток дорожки

 – плотность тока,
 – площадь сечения дорожки,
 – ширина дорожки,
 – толщина медного покрытия платы,
 – сопротивление дорожки

L – длина дорожки,
 – удельное сопротивление меди,
 – площадь боковой поверхности охлаждения дорожки

 – коэффициент теплоотдачи.
После подстановки (4.2) – (4.4) в (4.1) получим выражение


 
Наибольший перегрев – в дорожках цепей источника питания +5 В с шириной   и током . Площадь сечения дорожки

Плотность тока

 
Подставляя полученные значения в (4.5), получим

Перегрев дорожек сигнальных цепей значительно ниже, так как токи сигнальных цепей на порядок меньше величины   , а их ширина меньше только на одну седьмую часть.
Результат расчёта (4.6) показывает, что перегрев незначителен. То есть во взрывоопасной среде полученное значение опасность взрыва фактически не увеличит. Однако обсуждение этого вопроса некорректно, так как барьерный блок находится на поверхности, во взрывобезопасной среде.
  
 
5 Технико-экономическое обоснование проекта
Широкое внедрение средств вычислительной техники во многие сферы человеческой деятельности и повышение требований к качеству продукции остро поставили вопрос по определению экономического эффекта от разработки и внедрения новых технологий в тех или иных областях науки и производственной деятельности.
5.1 Расчет стоимости проекта
При определении стоимость изготовления проектируемого устройства можно выделить следующие статьи затрат:
-              стоимость материалов;
-              затраты на комплектующие изделия;
-              заработная плата работников;
-              отчисления на социальные нужды;
-              общепроизводственные расходы;
-              общехозяйственные расходы;
-              затраты на потребление электроэнергии.
Затраты на основные и вспомогательные материалы определены с учё­том транспортно-заготовительных расходов. Расчет стоимости комплектующих изделий производился на основе приблизительной оценки затрат на их изготовление [9].
,                                     (5.1)
где       - стоимость основных материалов, руб.;
mi – норма расхода i-го материала на единицу;
 – цена единицы i-го материала, руб.;
 – стоимость  вспомогательных материалов, руб.;
 – коэффициент транспортно-заготовительных расходов;
i = 1...n - наименование (перечень) видов материалов на изготовление единицы изделия.
Стоимость основных материалов приведена в таблице 5.1.
 

 Таблица 5.1 - Стоимость основных материалов






Наименование     материала
Ед. изм.
Количество
Цена, руб./ед.
Сумма,
руб.
Припой ПОС61
кг
0.05
150
7.5
Спирто-нефрасовая смесь
л
0.1
176
17.6
Нить хлопчато-бумажная
м
2
8.47
16.94
Флюс
кг
0.05
200
10
Итого
52.04

 
Из таблицы 5.1 по формуле (5.1) находим стоимость основных материалов:
 руб.
Стоимость вспомогательных материалов  принимается равной 10%  от стоимости основных, что составляет:
 руб.
Коэффициент транспортно-заготовительных расходов  примем рав­ным 7 %. В итоге, по формуле (2.1) получим:
 руб.
Затраты на комплектующие изделия
 
,                             (5.2)
 
где  – количество изделий i – го наименования;
 – цена i-го изделия, руб.
Стоимость основных комплектующих изделий приведена в таблице 5.2.
Данные в таблице приведены с учетом стоимости материалов комплектующих изделий, а также стоимости процесса  их изготовления.
 

Таблица 5.2 - Стоимость комплектующих изделий




















Наименование     материала
Ед. изм.
Количество
Цена, руб./ед.
Сумма,
руб.
Печатная плата
шт.
2
150
300
Конденсатор керамический
шт.
38
2
76
Стабилитрон кремниевый 
шт.
3
29
87
Оптрон AOT128
шт.
2
17
34
Резистор
шт.
34
0.9
30.6
Диод Шоттки
шт.
1
3
3
Микросхема 561ТЛ1
шт.
8
17
136
Микросхема MC34063AP1
шт.
1
25
25
Микросхема MAX232AESE
шт.
1
95
95
Микросхема ADM3251
шт.
1
210
210
Микросхема K140УД7
шт.
5
120
600
Микросхема LM358 SO
шт.
5
15
75
Микросхема ISO122P
шт.
4
960
3840
Микросхема 78L05
шт.
1
11
11
DC/DC  преобразователь
шт.
3
2500
7500
Винт М2
шт.
8
2.4
19.2
Гайка M2
шт.
8
4.8
38.4
Стойка
шт.
8
10.5
84
Итого
13164.2

Из таблицы 5.2 по формуле (2.2) с учётом коэффициента транспортно-заготовительных расходов находим:
 руб.
Основная заработная плата работников рассчитывается по формуле:
 
,                               (5.3)
где  – трудоемкость изготовления, ч.;
 – численность работников i-ой категории; 
 – часовая тарифная ставка работников i-ой категории, руб./ч.;
 – коэффициент  премий равный 25 %;
 – районный коэффициент равный 15 %.

Сборка устройства при единичном характере производства осуществляется в специально оборудованном цехе одним сборщиком.  Оклад сборщика составляет 24  тыс. руб/месяц.
Трудоемкость сборки устройства определяется пятью основными этапами. Трудоемкость каждого этапа приведена в таблице 5.3.
Таблица 5.3 - Основные этапы сборки устройства







Стадии сборки
 , ч.
Пайка печатных плат
8
Сборка устройства
4
Программная и аппаратная отладка
120
Настройка
20
Контроль параметров
4
Всего:
164,4
Общая трудоёмкость сборки изделия сборщиками   составила 8,4 часа.
Часовая тарифная ставка рассчитывается по формуле:
 
,                                                   (5.4)
 
где  – месячный оклад рабочего, руб.;
 – фонд времени работ рабочего за месяц, ч.;
 – количество  рабочих дней в месяц; 
 – длительность рабочего дня.
 ч.
 
Таким образом, часовая тарифная ставка (ЧТС) рабочего, рассчитанная  по формуле (5.4), составит:
 руб./ч.
Приняв коэффициент премий и прочих доплат равным kпр=25 %, а районный коэффициент kр=15 %, получим, что основная заработная плата рабочего  составит:
 руб.
 

Дополнительная заработная плата работников рассчитывается по формуле:
 
,                                            (5.5)
 
где – коэффициент дополнительной заработной платы.
Дополнительная заработная плата для сборщика составит:
 
 руб.
 
Общая заработная плата работников составляет:
 
 руб.
 
Отчисления на социальные нужды рассчитываются по следующей формуле:
 
,                                    (5.6)
 
где – процент отчислений на социальные нужды, который включает единый социальный налог (32%).
 руб.
Общепроизводственные расходы рассчитываются по следующей формуле:
 
,                                            (5.7)
 
где   – норматив общепроизводственных расходов.
 руб.,

Накладные расходы рассчитываются по формуле:
                                     (5.8)
где НР - норматив затрат на накладные расходы, %;  НР=10 %.
Подставив значения в формулу (5.8), получим:
 руб.
Затраты на потребляемую электроэнергию вычисляется по следующей формуле:
,                      (5.9)
 
где   –  количество единиц используемого электрооборудования;
  – номинальная мощность i-го вида электрооборудования, кВт;
 –  коэффициент использования по мощности;
 – действительный фонд времени работы i-го вида электрооборудования, ч.;
 –  тарифная ставка для оплаты за потребляемую электроэнергию, по данным бухгалтерского отдела предприятия ООО «Центр горизонтального бурения» на 2014 год.
В расчете принимаем, что при сборке устройства использовались осветительные приборы дневного света общей мощностью 500 Вт в течение всего времени сборки, при пайке печатных плат использовался паяльник мощностью 50 Вт в течение всего рабочего дня, для контроля использовался осциллограф мощностью 100 Вт.
 руб.
Себестоимость изготовления изделия  рассчитывается по следующей формуле:
.                                       (5.10)
Подставив полученные ранее значения в формулу (2.10), получим: 


5.2 Расчёт цены изделия
Отпускная цена устройства:
 
,                                       (5.11)
 
где  - полная себестоимость изделия, руб.
  – прибыль, руб.
 – рентабельность, руб.
Таким образом, отпускная цена изделия составит:
 
          а
 руб.
5.3 Расчет затрат на стадии эксплуатации
Издержки на амортизацию рассчитываются по формуле:
 
,                                       (5.12)
 
где   – норма амортизации.
Норма амортизации рассчитывается из выбранного способа амортизации и срока эксплуатации разработанного устройства. Выберем линейный способ амортизации, для которого:
 
,                                              (5.13)
 
где  - срок полезного использования устройства (лет).
Для разработанного устройства, срок эксплуатации составляет 8 лет.
Тогда норма амортизации:
.
Издержки на амортизацию определятся по формуле (5.12):
 
 руб.
 
Таким образом, годовые эксплуатационные издержки полностью определяются амортизационными отчислениями и составляют:
 руб.
Рассчитаем приведенные затраты:
 
.                                         (5.14)
 
 руб.
Полученные в результате расчетов экономические показатели проектируемого устройства сведены в таблицу 5.4.
Таблица 5.4 - Экономические показатели устройства











Наименование экономического показателя
Значение, руб.
1. Стоимость материалов
61.25
2. Стоимость комплектующих изделий
13164.2
3. Заработная плата:
  а) основная;
  б) дополнительная.
 
33763.75
3376.3
4. Отчисления на социальные нужды
11884.83
5.  Общепроизводственные расходы
5571.02
6.  Накладные расходы
3714.01
7. Себестоимость изделия
72574.86
8. Отпускная цена устройства
90719.75
9. Годовые эксплуатационные издержки
9071.85
10. Приведенные затраты
81646.71
 

 
6 Безопасность труда
 
 6.1 Анализ и обеспечение безопасных условий труда
 
 
Эксплуатацию проектируемого устройства планируется проводить на базе предприятия ООО «Центр горизонтального бурения» (ЦГБ), расположенного в городе Оренбург.
В здании ЦГБ, где наибольшая часть рабочих мест компьютеризированы, должны выполняться санитарные правила и нормы (СанПиН 2.2.4.548-96 "Гигиенические требования к микроклимату рабочих помещений") [10].
Рабочее помещение имеет следующие размеры: длина 5 м, ширина 4 м, высота 3 м, число окон – 2, рабочих мест 3. Окна выходят на северную сторону. Пол застелен линолеумом, стены окрашены голубоватой краской, потолок покрыт известью. В качестве источников света используют 5 светильников с тремя газоразрядными люминесцентными лампами дневного света с улучшенной цветопередачей, подвешенных на высоте 1.9 метра.
Виды трудовой деятельности, осуществляемой работниками ЦГБ разделяются на 3 группы: группа А - рабо­та по считыванию информации с экрана ПЭВМ с предварительным запросом; группа Б - работа по вводу информации; группа В - творческая работа в режи­ме диалога с ЭВМ. Для трудовой деятельности сотрудников устанавливается 3 различные категории тяжести и напряженности работы, которые определя­ются для группы А – по суммарному числу считываемых знаков за рабочую смену, но не более 60000 знаков за смену; для группы Б – по суммарному числу считываемых или вводимых знаков за рабочую смену, но не более 40000 знаков за смену; для группы В – по суммарному времени непосредственной работы с ПЭВМ за рабочую смену, но не более 6 часов за смену. Для обеспечения опти­мальной работоспособности и сохранения здоровья профессиональных пользо­вателей,  на  протяжении  рабочей  смены  должны  устанавливаться регламентируемые перерывы.
Продолжительность непрерывной работы с ПЭВМ без регламентируемо­го перерыва не должна превышать двух часов. При восьми часовой рабочей смене и работе на ПЭВМ устанавливаются регламентируемые перерывы.
Кроме вышеперечисленных требований, для обеспечения нормальных условий труда, должны соблюдаться микроклиматические нормы, указанные в таблице 6.1 и нормы, предъявляемые к освещенности и шуму, указанные в таблице 6.2.
 
 
 
Таблица 6.1 – Требования, предъявляемые к микроклимату и фактические значения
 




Факторы
Норм. значение
Фактич. значение
Температура воздуха, 0С
20-23
30.2
Относительная влажность, %
60
68
Скорость движения воздуха, м/с
0,2
0,3
 
       6.2 Расчет освещенности
 
Правильно спроектированное и выполненное производственное освещение улучша­ет условия зрительной работы, снижает утомляемость, способствует повышению произво­дительности труда, благотворно влияет на производственную среду, оказы­вая положи­тельное психологическое воздействие на работающего, повышает безо­пас­ность труда и  снижает травматизм.
Недостаточность освещения приводит к напряжению зрения, ослабляет внимание, приводит к наступлению преждевременной утомленности. Чрезмерно яркое освеще­ние вызывает ослепление, раздражение и резь в глазах. Неправильное направление света на рабочем месте может создавать резкие тени, блики, дезориентировать рабо­тающего. Все эти причины могут привести к несчастному случаю или профзаболева­ниям, поэтому столь важен правильный расчет освещенности.
Существует три вида освещения - естественное, искусственное и совмещенное (ес­те­ственное и искусственное вместе) [10].
Естественное освещение - освещение помещений дневным светом, проникающим через световые проемы в наружных ограждающих конструкциях помещений. Естест­вен­ное освещение характеризуется тем, что меняется в широких пределах в зависимо­сти от времени  дня, времени года, характера области и ряда других факторов.
Искус­ственное освещение применяется при работе в темное время суток и днем, ког­да не уда­ется обеспечить нормированные значения коэффициента естественного осве­ще­ния (пас­мурная погода, короткий световой день). Освещение, при котором не­доста­точ­ное по нормам естественное освещение дополняется искусственным, называ­ется сов­мещенным освещением.
Искусственное освещение подразделяется на рабочее, аварийное, эвакуационное, охранное. Рабочее освещение, в свою очередь, может быть общим или комбинирован­ным. Общее - освещение, при котором светильники размещаются в верхней зоне по­ме­щения равномерно или применительно к расположению оборудования. Комбиниро­ван­ное - освещение, при котором к общему добавляется местное освещение.
Согласно СНиП II-4-79 в рабочих кабинетах необходимо приме­нить систему комбинированного освещения.
При выполнении работ категории высокой зрительной точ­ности (наименьший раз­мер объекта различения 0,3…0,5мм) величина коэффициента естественного освеще­ния (КЕО) должна быть не ниже 1,5 %, а при зрительной работе средней точности (наимень­ший размер объекта различения 0,5…1,0 мм) КЕО должен быть не ниже 1,0 %. В качест­ве источников искус­ственного освещения обычно используются люми­несцентные лам­пы типа ЛБ или ДРЛ, которые попарно объединяются в светильники, которые должны располагаться над ра­бочими поверхностями равномерно [10].
Требования к освещенности в помещениях, где установлены ПЭВМ, следую­щие: при выполнении зрительных работ высокой точности общая освещенность должна составлять 300лк, а комбинированная - 750лк; аналогичные требования при выполне­нии работ средней точности - 200 и 300лк соот­ветственно.  
Кроме того все поле зрения должно быть освещено достаточно равномерно – это ос­новное гигие­ническое требование. Иными словами, степень освещения помещения и яр­кость экрана ком­пьютера должны быть примерно одинаковыми, т.к. яркий свет в районе периферийного зре­ния значительно увеличивает напряженность глаз и, как следствие, приводит к их быстрой утомляемости.
Расчет освещенности рабочего места сводится к выбору системы освещения, опре­де­лению необходимого числа светильников, их типа и размещения. Исходя из этого, рас­считаем параметры искусственного освещения.
Обычно искусственное освещение выполняется посредством электрических источ­ни­ков света двух видов: ламп накаливания и люминесцентных ламп. Будем использо­вать люминесцентные лампы, которые по сравнению с лампами накаливания имеют ряд су­щественных преимуществ [10]:
-  по спектральному составу света они близки к дневному, естественному свету;
-  обладают более высоким КПД (в 1,5-2 раза выше, чем КПД ламп накаливания);
-  обладают повышенной светоотдачей (в 3-4 раза выше, чем у ламп накаливания);
-  более длительный срок службы.
Расчет освещения производится для комнаты площадью 15м2 , ширина которой 5м, высота - 3 м. Воспользуемся методом светового потока.
 Для определения количества светильников определим световой поток, падающий на поверхность по формуле:
  , где                                          (6.1)
F - рассчитываемый световой поток, Лм;
Е - нормированная минимальная освещенность, Лк (определяется по таблице). Работу инженера, в соответствии с этой таблицей, можно отнести к разряду точных работ, следовательно, минимальная освещенность будет Е = 300Лк;
S - площадь освещаемого помещения (в нашем случае S = 15м2);
Z - отношение средней освещенности к минимальной (обычно принимается равным 1,1…1,2 , пусть Z = 1,1);
К - коэффициент запаса, учитывающий уменьшение светового потока лампы в резуль­тате загрязнения светильников в процессе эксплуатации (его значение зависит от типа помещения и характера проводимых в нем работ и в нашем случае К = 1,5);
n - коэффициент использования, (выражается отношением светового потока, падаю­щего на расчетную поверхность, к суммарному потоку всех ламп и исчисляется в долях единицы; зависит от характеристик светильника, размеров помещения, окраски стен и потолка, характеризуемых коэффициентами отражения от стен (РС) и потолка (РП)), значение коэффициентов РС и РП были указаны выше: РС=40 %, РП=60 %. Значение n определим по таблице коэффициентов использования различ­ных светильников. Для этого вычислим индекс помещения по формуле:
   ,                                       (6.2)
 
S - площадь помещения, S = 15 м2;
h - расчетная высота подвеса, h = 2.92 м;
A - ширина помещения, А = 3 м;
В - длина помещения, В = 5 м.
Подставив значения получим:

Зная индекс помещения I, по таблице 7 [10] находим n = 0,22
Подставим все значения в формулу для определения светового потока F:
 
Для освещения выбираем люминесцентные лампы типа ЛБ40-1, световой поток кото­рых  F = 4320 Лк.
Рассчитаем необходимое количество ламп по формуле:
                                          (6.3)
N - определяемое число ламп;
F - световой поток, F = 33750 Лм;
Fл- световой поток лампы, Fл = 4320 Лм.
 
При выборе осветительных приборов используем светильники типа ОД. Каждый светильник комплектуется двумя лампами.
 
 
 
 
 
6.3 Расчет шума
 
Шум ухудшает условия труда оказывая вредное действие на организм человека. Ра­бо­тающие в условиях длительного шумового воздействия испытывают раздражитель­ность, головные боли, головокружение, снижение памяти, повышенную утомляе­мость, понижение аппетита, боли в ушах и т. д. Такие нарушения в работе ряда орга­нов и сис­тем организма человека могут вызвать негативные изменения в эмоциональ­ном состоя­нии человека вплоть до стрессовых. Под воздействием шума снижается концен­трация внимания, нарушаются физиологические функции, по­является уста­лость в связи с повы­шенными энергетическими затратами и нервно-психическим на­пряжением, ухуд­шается речевая коммутация. Все это снижает работоспособность че­ловека и его производитель­ность, качество и безопасность труда. Длительное воздей­ствие интенсивного шума [выше 80 дБ(А)] на слух человека приво­дит к его частичной или полной потере.
В таблице 6.2 указаны предельные уровни звука в зависимости от категории тяжести и напряженности труда, являющиеся безопасными в отношении сохранения здоровья и работоспособности.
Таблица 6.2 – Предельные уровни звука, дБ, на рабочих местах.
 






Категория
напряженности труда
Категория тяжести труда
I. Легкая
II. Средняя
III. Тяжелая
IV. Очень тяжелая
I. Мало напряженный
80
80
75
75
II. Умеренно напряженный
70
70
65
65
III. Напряженный
60
60
-
-
IV. Очень напряженный
50
50
-
-
Уровень шума на рабочем месте инженера не должен превышать 50дБА, а в комнате где находится ПЭВМ - 65дБА.
Для решения вопросов о необходимости и целесообразности снижения шума не­обхо­димо знать уровни шума на рабочем месте инженера.
Уровень шума, возникающий от нескольких некогерентных источников, работа­ющих одновременно, подсчитывается на основании принципа энергетического сумми­рования излучений отдельных источников [10]:
                                            (6.4)
где Li – уровень звукового давления i-го источника шума;
n – количество источников шума.
Полученные результаты расчета сравнивается с допустимым значением уровня шу­ма для данного рабочего места. Если результаты расчета выше допустимого значения уров­ня шума, то необходимы специальные меры по снижению шума. К ним отно­сятся: обли­цовка стен и потолка зала звукопоглощающими материалами, снижение шума в источ­нике, правильная планировка оборудования и рациональная организация рабочего места оператора.
Уровни звукового давления источников шума, действующих на инженера на его ра­бочем месте представлены в табл. 6.3.
Таблица 6.3 – Уровни звукового давления различных источников.
 







Источник шума
Уровень шума, дБ
Жесткий диск
40
Вентилятор
45
Монитор
17
Клавиатура
10
Принтер
45
Биокондиционер
55

 
Обычно рабочее место инженера оснащено следующим оборудованием: винчестер в системном блоке, вентилятор(ы) систем охлаждения ПК, монитор, клавиатура, прин­тер, а так же вентиляционная система.
Подставив значения уровня звукового давления для каждого вида оборудования в формулу, получим:
L∑=10·lg(104+104,5+101,7+101+104,5+105,5)=49,5 дБ
Полученное значение не превышает допустимый уровень шума для рабочего места инженера, равный 65 дБ (ГОСТ 12.1.003-83). И если учесть, что вряд ли такие перифе­рийные устройства как сканер и принтер будут использоваться одновременно, то эта цифра будет еще ниже. Кроме того при работе принтера непосредственное присут­ствие оператора необязательно, т.к. принтер снабжен механизмом автоподачи листов.
 
6.4 Расчет необходимого воздухообмена в помещении
Необходимый воздухообмен в помещении в зависимости от числа людей находится по формуле:
                                                                                        (6.5)
где L – необходимый воздухообмен в помещении, м3/ч;
n – число людей в помещении;
 - расход воздухообмена на 1 человека в зависимости от объема (V) помещения, м3/ч.
Расход воздухообмена рассчитывается по следующей формуле:
                                              =V/n.                                             (6.6)
Подставив значения в формулу (6.6) получим:
                                              =60/3=20 м3.
Избыточное тепло в помещении может быть от источников света. К источникам света,  выделяющим тепло, относятся лампы накаливания. Теплом от люминесцентных ламп можно пренебречь. Избытки тепла в  помещении от источников  света   Qист.св.,  Дж,  можно определить из выражения:
                                            (6.7)
где  - суммарная потребляемая мощность освещения, кВт.
В теплый период года (при наружной температуре более +10С) следует учитывать солнечную радиацию. Количество тепла поступающего от солнечной радиации QC, ккал/час, определяется по формуле (6.8):
 
,                                        (6.8)
 
где Fост – поверхность остекления, принимаем равным 6 м2;
Кост – коэффициент остекления, для данного случая равен 1.15;
qост – солнечная радиация через один м2 поверхности остекления в зависимости от ориентации по сторонам света; в нашем случае она равна 59 ккал/ч.
Подставив значения в формулу (6.8) получим:
.
Суммарное избыточное тепло, поступающее в помещение Qпост определяется по формуле:
                                        ,                              (6.9)
Подставив значения в формулу (6.8) получим:
Qпост = 407,1.
Избыточное тепло с учетом тепловых потерь  Qизб, Дж, определяют по формуле:
                                           ,                                       (6.10)
где Qт.п. – тепловые потери, Дж.
Тепловые потери можно определить по следующей формуле:
                                             ,                                (6.11)
где   К- коэффициент теплопередачи (зависит от материала стены);
F - площадь поверхности ограждения, в нашем случае составляет 12 м;
tвн,- внутренняя  температура воздуха в помещении, равная 30.2 °С;
tн –  наружная температура воздуха, 26.9 °С.
Qт.п.=3348∙12∙ (30.2-26.9) =132580 Дж.
Подставив значения в формулу (6.10) получим:
Дж.
Необходимый воздухообмен по избыткам тепла L, м3/ч, определяется по следующей формуле:
                                                                                  (6.12)
где Q – избыточное тепло, выделяемое в помещении, определяемое как разница между тепловыми потерями и суммарным избыточным теплом;
С – удельная теплоемкость воздуха; примем равную 2,4 ккал/ч;
 – плотность воздуха;
tух, tпр – температура приточного, температура уходящего из помещения воздуха соответственно.
Температура воздуха, удаляемого из помещения tух определяется по следующей формуле:
                                         ,                                    (6.13)
где tр.з. – температура воздуха в рабочей зоне, равная 30.2оС;
 – градиент температуры, по высоте помещения (2оС);
H – высота от пола до центра вытяжных проемов, 3 м.
tух=30.2+2∙ (3-2) = 32.2.
Определим необходимый воздухообмен в помещении по формуле:
 м3/ч.
Рассчитаем кратность объема воздуха по формуле (6.14):
,                                                     (6.14)
где V – общий объем помещения, м3, в данном случае равен 60 м3.
Подставив числовые выражения в формулу (6.14), получим:
 ч-1.
Определим необходимую производительность вентиляционной установки по формуле (6.15):
,                                               (6.15)
где  0,7 - коэффициент, учитывающий наличие естественной вентиляции.
Подставив значения в формулу (6.13) получим:
 м3/ч.
Таким образом, для вентиляции помещения, необходимо использовать вентиляционную систему общей производительностью 5638.12 м3/ч. Наиболее подходящим вариантом нормализации условий труда является использование на базе предприятия ООО «Центр горизонтального бурения» биокондиционера модели 320М. Технические характеристики предложенного кондиционера представлены в таблице 6.4.

  Таблица 6.4 – Технические характеристики биокондиционера 320М







Параметры
Максимальная
Средняя
Низкая
Скорость вращения, об/мин.
1400
1300
1200
Производительность, м3/мин.
320
270
230
Уровень шума, дБ
64
60
55
Потребляемая мощность, В
220
190
180
Потребление воды, л/ч.
 
7
 
Объем водяного бака, л
 
22
 
 
6.5 Возможные чрезвычайные ситуации
В помещениях ООО «Центр горизонтального бурения» наиболее вероятной из чрезвычайных ситуаций может оказаться возникновение пожара.
С точки зрения пожароопасности помещения ООО «Центр горизонтального бурения» относятся к категории B (производство, связанное с обработкой несгораемых веществ и материалов в холодном состоянии СНиП II-90-81).
Причиной возникновения пожара в помещении может послужить замыкание электропроводки или случайное попадание токопроводящих жидкостей внутрь эксплуатируемой электроустановки.
Для оповещения персонала о возникновении пожара, помещения должны быть оборудованы средствами пожарной сигнализации. Так как на базе предприятия предполагается использование дорогостоящего электрооборудования, чувствительного к повышенной влажности, на нем не должны использоваться сигнализации, оборудованные автоматическими средствами пожаротушения (типа спринклерных или дренчерных, которые используют для тушения воду).
При возникновении пожароопасной ситуации нужно отключить электроэнергию, газ (если есть). Затем попытаться ликвидировать очаг возгорания, воспользовавшись подручными средствами. 
Для тушения небольших очагов загорания необходимо использовать следующие два типа огнетушителей: углекислотные (ОУ-2, ОУ-5) и порошковые (ОП-1, ОП-10, ОП-25).
Углекислотные огнетушители предназначены для тушения небольших начальных загораний различных веществ, исключая те, горение может происходить без доступа воздуха. Действие углекислотного огнетушителя основано на том, что при выходе из баллона Н2СО3 мгновенно превращается в газ, расширяясь в 400-500 раз. В результате создаются условия, горение в которых невозможно.
Универсальным средством пожаротушения являются порошковые огнетушители, используемые для тушения небольших очагов возгорания горючих жидкостей, газов, электроустановок напряжением до 1000В, металлов и их сплавов. Огнетушитель ОП-1 емкостью 1л, заполнен составом ПСБ (порошок сухой бикарбонатный). Огнетушитель ОП-10 содержит в тонкостенном 10 литровом баллоне порошок ПС-1 (углекислый натрий с добавками).
Если масштабы пожара приняли глобальный характер и его уже не представляется возможным ликвидировать первичными средствами пожаротушения, то нужно вывести людей, находящихся вблизи очага пожара в соответствии  с планом эвакуации и вызвать пожарный расчет. По возможности из ближайших помещений вынести наиболее ценные предметы, приборы, аппаратуру, а также удалить все взрывоопасные и легковоспламеняющиеся вещества.
Для оповещения персонала о возникновении пожара, на базе предприятия, применяются комбинированные системы пожарных оповещателей. В качестве активных мер пожаротушения необходимо применение автоматической установки пожаротушения.
Автоматическая установка пожаротушения (АУПТ) — установка пожаротушения, автоматически срабатывающая при превышении контролируемым фактором (факторами) пожара пороговых значений в защищаемой зоне. Отличительной особенностью автоматических установок является выполнение ими и функций автоматической пожарной сигнализации. При этом, все автоматические установки пожаротушения (кроме спринклерных) могут приводиться в действие ручным и автоматическим способом.
Для использования в ЦГБ наиболее оправданной является газовая АУПТ.  Газовые АУПТ — совокупность технических стационарных технических средств пожаротушения для тушения очагов пожара за счет автоматического выпуска газового огнетушащего вещества (состава). По конструктивному исполнению могут быть двух типов: централизованные и модульные. В качестве огнетушащих веществ используются сжиженные и сжатые газы.
В состав газовой АУПТ входят:
-              распределительные трубопроводы с насадками;
-              побудительные системы;
-              батареи;
-              секции наборные;
-              побудительно-пусковые секции;
-              распределители воздуха;
-              распределительные устройства;
-              баллон-ресивер;
-              зарядная станция;
-              оповещатели;
-              электроавтоматика (контроля и управления), технические средства обнаружения пожара.
План эвакуации из помещения в случае возникновения ЧС показан на рисунке 6.1.

Рисунок 6.1 – План эвакуации
По категории помещение относится ко II степени огнестойкости. Допустимая продолжительность эвакуации из здания τдоп не должна превышать 6 минут. Время задержки начала эвакуации принимается 1 мин с учетом того, что здание имеет автоматическую систему сигнализации и оповещения о пожаре.
Расчетное время эвакуации людей tр следует определять, согласно ГОСТу 12.1.004-91*, как сумму времени движения людского потока по отдельным участкам пути ti, по формуле:
tp = t1 + t2 + t3 +...+ ti + tн.э.,                                          (6.15)
где t1 – время движения людского потока на первом (начальном) участке, мин;
t1, t2, t3,...ti – время движения людского потока на каждом из следующих после первого участка пути, мин.
 tн.э – время начала эвакуации, мин.
Время движения людского потока по первому участку пути ti, мин, рассчитывают по формуле:
,                                                  (6.16)
где l1 – длина первого участка пути, м;
V1 – скорость движения людского потока по горизонтальному пути на первом участке, м/мин.
Плотность однородного людского потока на первом участке пути D1 рассчитывают по формуле:
,                                                     (6.17)
где N1 – число людей на первом участке, чел.;
f – средняя площадь горизонтальной проекции человека, м2/чел.;
δ1 – ширина первого участка пути, м.
Скорость v1 движения людского потока на участках пути, следующих после первого, принимают по таблице 6.3, в зависимости от интенсивности движения людского потока по каждому из этих участков пути, которую вычисляют для всех участков пути, в том числе и для дверных проемов, по формуле:
,                                                (6.15)
где δi, δi-1 – ширина рассматриваемого i-го и предшествующего ему участка пути, м;
qi, qi-1 – интенсивности движения людского потока, м/мин.
Если значение qi, определяемое по формуле (6.16), меньше или равно qmax, то время движения по участку пути ti, мин, равно:
.                                                    (6.16)
При этом, значения qmax, м/мин следует принимать равными 16,5 – для горизонтальных путей и19,6 – для дверных проемов.
Если значение qi, определенное по формуле (6.17), больше qmax то ширину δi данного участка пути следует увеличивать на такое значение, при котором соблюдается условие:
qi ≤ qmax .                                                 (6.17)
Подставив все известные величины в приведенные выше формулы определим расчетное время эвакуации людей.
Плотность однородного людского потока на первом участке пути:
.
Время движения людского потока по первому участку пути:
мин.
Интенсивность движения через первый дверной проем:
м/мин.
Время прохождения через первый дверной проем:
мин.
  Интенсивность движения на втором участке пути:
м/мин.
Время прохождения через второй участок пути:
мин.
Интенсивность движения через второй дверной проем:
м/мин.
Время прохождения через второй дверной проем:
мин.
  Интенсивность движения на третьем участке пути:
м/мин.
Время прохождения через третий участок пути:
мин.
Интенсивность движения через третий дверной проем:
м/мин.
Время прохождения через третий дверной проем:
мин.
Расчетное время эвакуации людей:
мин.
.
Таким образом, расчетное время эвакуации из помещения находится в допустимых пределах. Поэтому принимать дополнительные мероприятия нет необходимости.
 
Заключение
В ходе выполнения дипломного проекта были выполнены следующие задачи:
-              произведен анализ технического задания;
-              разработана принципиальная схема устройства;
-              разработана печатная плата проектируемого устройства;
-              рассчитаны экономические показатели проекта;
-              разработаны мероприятия по улучшению условий труда при эксплуатации устройства.
Внедрение и эксплуатация разработанного аппаратного комплекса экономически эффективны, что подтверждают соответствующие расчеты, приведенные в главе 5.
В рамках отдельно взятого предприятия, разработанный аппаратный комплекс, поможет отказаться от использования дорогостоящего габаритного и сильно устаревшего оборудования, заменив его на одно из современных мобильных устройств.
 
Список использованных источников
1.                Дьяконов, Д.И. Общий курс геофизических исследований скважин: учебник для вузов, второе изд. / Д.И. Дьяконов, Е.И. Леонтьев, Г.С. Кузнецов. – М. : Недра, 1984. – 432 с.
2.                Тулин, И.А. «Схемотехника ЮНИТА 3 - Основы аналоговой интегральной схемотехники Цифро-аналоговые и аналого-цифровые преобразователи»./ И.А. Тулин – М. : Логос, 2010 – 325 с.
3.                Кузовкин, В.А. Теоретическая электротехника : учебник / В.А.. Кузовкин. – М. : Логос, 2005 – 352 с.
4.                Лукин, А.В. Введение в цифровую обработку сигналов : учеб. пособие / А. Лукин. – М. : МГТУ, 2002. – 54 с.
5.                Опадчий, Ю. Ф. Аналоговая и цифровая электроника / Ю. Ф. Опадчий, О. П. Глудкин. – М. : Горячая линия – Телеком, 2003. – 768 с.
6.                Хлуденев, А. В. Автоматизированное проектирование электронных устройств: методические указания; Оренб. гос. тех. ун-т / А. В. Хлуденев. – Оренбург, 1995. – 30 с.
7.                Микросхема КР561ТЛ1. – Режим доступа: http://www.efo.ru/cgi-bin/go?2595
8.                Теоретические основы цифровой фильтрации. – Режим доступа: http://www.rfe.by/media/kafedry/kaf4/publication/stetsko/comp-izmer-sistemy/lection3.html
9.                Рахматуллин, Р. Р. Методические указания «Выполнение организационно-экономической части дипломных проектов» / Р. Р. Рахматуллин, В. Н. Тарасов, О. В. Коваленко. – Оренбург : ОГУ, 1998. – 46 с.
10.           СанПиН 2.2.2/2.4.1340-03. Гигиенические требования к персональным электронно-вычислительным машинам и организации работы. – М.: Госкомсанэпиднадзор России, 2003. – 87 с.
11.           ГОСТ 23752-79. Платы печатные. Общие технические условия. – Введ. 1979-07-17. – М. : Изд-во стандартов, 1979. – 34 с.
12.           ГОСТ 2.417-91. Единая система конструкторской документации. Платы печатные. Правила выполнения чертежей. – Введ. 1992-01-07. – М. : Изд-во стандартов, 2002. – 5 с.
13.           ГОСТ 2.737-68. Единая система конструкторской документации. Обозначения условные графические в схемах. Устройства связи. – Введ. 1971-01-01. – М. : Изд-во стандартов, 1992. – 11 с.
14.           ГОСТ 2.743-91. Единая система конструкторской документации. Обозначения условные графические в схемах. Элементы цифровой техники. – Введ. 1993-01-01. – М. : Изд-во стандартов, 1994. – 61 с.
15.           ГОСТ 2.759-82. Единая система конструкторской документации. Обозначения условные графические в схемах. Элементы аналоговой техники. – Введ. 1983-01-07. – М. : Изд-во стандартов, 1988. – 13 с.
16.                Акимов, В.А. Безопасность жизнедеятельности. Безопасность в чрезвычайных ситуациях природного и техногенного характера: учебное пособие / В.А. Акимов, Ю.Л. Воробьёв, М.И. Фалеев – М.: Высшая школа, 2007. – 592 с. – ISBN 978-5-06-004895-7
17.                Прайс-листы цен электронных компонентов [Электронный ресурс]. – Режим доступа: http://www.chipdip.ru
18.                Розанов, Ю.К. Основы силовой электроники / Ю.К. Розанов – М.: Энергоатомиздат, 1992. – 296 с. – ISBN 5-283-00681-6
19.                Чебовский, О.Г. Силовые полупроводниковые приборы: справочник / О.Г. Чебовский, Л.Г. Моисеев, Р.П. Недошивин – М.: Энергоатомиздат, 1985. – 350 с.
20.           Теоретические основы цифровой фильтрации. – Режим доступа: http://www.rfe.by/media/kafedry/kaf4/publication/stetsko/comp-izmer-sistemy/lection3.html
21.           ГОСТ Р 51330.10-99 (МЭК 60079-11-99). Взрывозащиты вида i "Искробезопасная электрическая цепь". Текст международного стандарта МЭК 60079-11-99. Введ. – 2001-01-01. – М. : Изд-во стандартов, 2002. – 18 с.
 

 
Приложение А
 (обязательное)
5 Перечень элементов
 
Скачать дипломную работу: PZ-OKONChATELNAYa.docx

Категория: Дипломные работы / Дипломные работы по электронике

Уважаемый посетитель, Вы зашли на сайт как незарегистрированный пользователь.
Мы рекомендуем Вам зарегистрироваться либо войти на сайт под своим именем.