Измерительный блок параметров топливной системы дизельного двигателя

0

 

ДИПЛОМНЫЙ ПРОЕКТ

Измерительный блок параметров топливной системы дизельного двигателя

 

Пояснительная записка

 

 

 

 

Пояснительная записка содержит  страниц, в том числе 22 рисунка,  11 таблиц, 4 приложения. Графическая часть выполнена на листах формата A1.

В дипломном проекте проведен обзор систем, позволяющих обеспечить регистрацию параметров топливной системы дизельного двигателя. Представлены их преимущества и недостатки. В данном дипломном проекте разработан электронный блок для измерения параметров топливной системы дизельного двигателя, установлены требования к измерительном блоку.

Диплом содержит расчет экономических показателей, рассмотрены вопросы безопасности труда.

 

 

 

Задание

на дипломный проект

 

Измерительный блок параметров топливной системы дизельного двигателя __________________________________________________________________________________

2 Срок сдачи законченного проекта

 

3 Исходные данные к проекту:

 

  • Регистрируемые параметры:

- обороты вала топливного насоса в пределах:  30-3000 об/мин;

- угол начала впрыска форсунки относительно верхней мертвой точки первой              секции топливного насоса: 1…3600;

- угол открытого состояния форсунки: 1…3600;

- необходимое количество циклов впрыска топлива: 1…9999 циклы.

 

2)  Предел погрешности измерения:

- погрешность измерения угла начала впрыска  – ±0,2 град;

- погрешность измерения оборотов вала топливного насоса не более

  в диапазоне 30…150 об/мин: ±4%;

  в диапазоне 150…3000 об/мин: ±1%.

 

 

3)  Условия эксплуатации:

- рабочий диапазон температур окружающего воздуха 10 – 35 °С ;

- питание от источника постоянного тока 220 В ± 10 %;

- потребляемая мощность не более 50 Вт;

- относительная влажность воздуха при температуре +200 С не более 65%;

- атмосферное давление от 650 до 800 мм. рт. ст.

    

 

 

 

4 Содержание пояснительной записки (перечень подлежащих разработке разделов темы)

 

РАЗДЕЛ 1. Анализ существующих Вариантов и обоснование основных решений

РАЗДЕЛ 2. РАЗРАБОТКА АППАРАТНЫХ СРЕДСТВ
РАЗДЕЛ 3. РАЗРАБОТКА ПРОГРАММНЫХ СРЕДСТВ

РАЗДЕЛ 4. экономический расчет проекта

РАЗДЕЛ 5. безопасность труда

 

5 Перечень графического материала (с точным указанием обязательных чертежей)__________

 

  • Схема электрическая структурная
  • Схема электрическая общая
  • Результаты экономических расчетов
  • Результаты описания БЖД

                                                                                  


Summary

 

 

The Explanatory note contains the pages, including 22 drawings, 11 tables, 4 exhibits. The Graphic part was run for sheet of the format A1.

In degree project is organized review of the systems, allowing обеспе-chita registration parameter of the fuel system of the diesel engine. Their advantage and defect will Presented. In given degree project is designed electronic block for measurement fuel system parameter of the diesel engine, is installed requirements to measuring block.

      The Diploma contains the calculation of the economic factors, considered questions to safety of the labour.

 

 

 

Содержание

 

Введение…………………………………………………………………………...6

1 Обзор существующих решений …………………………………………….....7

2 Анализ ТЗ и обоснование основных решений ………………………………15

3 Разработка периферийного модуля ………………………………………….19

3.1 Узел центрального процессора …………………………………………….19

3.2 Узел контроллера МС14489ВР …………………………………………….22

3.3 Семисегментный индикатор..……………………………………………….23

3.4 Блок питания и узел стабилизатора напряжения………………...………..24

3.5 Реле……………………...…………………………………………………....25

3.6 Оптопара……………………………………………………………………...263.7 Автогенератор ……………………………………………………………….27

4 Разработка программного обеспечения……………………….……………..29

4.1 Основная программа……...………………………………………………....29

4.2 Подпрограмма измерения частоты вращения……………….………….....30

4.3 Подпрограмма измерения угла начала впрыска…………………………...31

4.4 Подпрограмма измерения угла длительности впрыска …………………..32

4.5 Подпрограмма измерения числа циклов……………… …………………..335 Разработка конструкции………………………………………………………35

6 Безопасность труда…………………………………………………………….36

6.1 Анализ условий труда…………………………….........................................36

6.2 Расчет искусственного освещения………………………………………….42

      6.3 Возможные чрезвычайные ситуации……………………………………..45

7 Технико-экономическое обоснование проекта………………………………51

7.1 Расчёт себестоимости проекта……………………………………………...51

7.2 Расчёт затрат на эксплуатацию……………………………………………..64

      7.3 Расчет годового экономического эффекта…………………………………67

      7.4 Результаты расчётов…………………………………………………………69

Заключение……………………………………………………………………….70

Список использованных источников …………………………………………..72

  Приложение А Схема электрическая принципиальная……………………...73

      Приложение Б Сборочный чертеж……………………………………………...73

        Приложени В Чертеж печатной платы………………………………………….74       

  Приложени Г Листинг программы…………......………………………………76

      Приложени Д НЕХ – код……………..…………………………………………88

 

 

 

 

Введение

 

 

В результате быстрого развития автомобильного транспорта возникла проблема загрязнения атмосферного воздуха токсичными веществами, содержащимися  в отработавших газах автомобильных двигателей. С целью решения этой проблемы ученные и конструктора автомобильной промышленности совершенствуют двигатели внутреннего сгорания, уделяя большое внимание улучшению их рабочего процесса и повышения экономичности за счет применения топливной аппаратуры более высокого качества, разработки новых методов и устройств, уменьшающих токсичность отработавших газов. Дизели, работающие с повышенной степенью сжатия и коэффициентом избытка воздуха, в большей степени, чем другие двигатели, отвечают современным тенденциям прежде всего по экономическим и экологическим показателям. В выхлопных газах дизелей по сравнению с бензиновыми двигателями содержится очень мало окиси углерода, углеводородов и окиси азота. Но этого невозможно будет достичь, не обеспечив оптимальные характеристики и параметры топливоподачи в соответствии с режимом работы двигателя и условиями его эксплуатации. Надежность, экономичность двигателя и объем вредных выбросов в атмосферу во многом зависят от настройки топливной аппаратуры и ее технического обслуживания. Даже незначительные неисправности или отклонения от заданных регулировочных параметров в топливной системе приводят к снижению мощности, перерасходу топлива, усилению вибрации двигателя, задымлению выхлопных газов, нагарообразованию и закоксовыванию распылителей форсунок. Это снижает общий ресурс двигателя. Начало нагнетания топлива секциями насоса и равномерность чередования подачи также существенно сказываются на работе двигателя. Допустимое рассогласование секций насоса и равномерность чередования подачи топлива не должно превышать 0,5 град. В результате износа, ремонта или замены плунжерных пар оно может достичь нескольких градусов, что необратимо приведет к нежелательным последствиям. Качественно отрегулировать насос можно только на стенде с высокоточной измерительной системой, позволяющей определить углы срабатывания секций насоса, т.е. равномерность чередования подачи топлива в цилиндры.

 

 

 

 

        

1 Обзор существующих решений

 

Существует два режима измерения углов чередования работы секций насоса статический и динамический.

В статическом режиме геометрические углы начала нагнетания топлива секциями насоса измеряются по лимбу стенда способом моментоскопа, либо способом проливки. Моментоскоп представляет собой прозрачную трубку небольшой длины, устанавливаемую на штуцер испытываемой секции насоса. При повороте вала, когда торец плунжера перекрывает наполнительное отверстие, уровень жидкости в трубке страгивается, что и принимается за начало нагнетания топлива данной секцией. Способ отличается низкой точностью, поэтому большее распространение получил способ проливки.

При этом способе в головку насоса подается топливо под давлением, превышающем давление открытия нагнетательных клапанов (в перепускное отверстие головки ставится заглушка). Пока плунжер секции не перекрывает наполнительное отверстие, топливо протекает из головки насоса в сливные трубки. Когда плунжер испытываемой секции перекрывает наполнительное отверстие, истечение прекращается. Точность способа выше, чем у предыдущего, но она ограничивается неопределенностью фиксации момента прекращения истечения топлива и составляет 1 – 1,5 градуса. Способы не требуют сложного оборудования, но отличаются высокой трудоемкостью измерений. Кроме того, эти способы не учитывают влияния гидроплотности плунжерных пар, которое проявляется в динамическом режиме работы насоса и снижает скорость нарастания давления в трубопроводе. По этой причине ошибки в углах чередования подачи при работе насоса на двигателе могут достигать нескольких градусов.  В динамическом режиме, т.е. при вращении вала насоса, проверяется начало впрыскивания топлива секциями насоса.

Самым распространенным способом определения начала впрыскивания топлива является стробоскопический. При этом топливо впрыскивается форсункой в прозрачный стеклянный уловитель, который освещается лампой стробоскопа. Регулируя задержку включения лампы, наблюдают за появлением из носика распылителя форсунки факела распыленного топлива. В зависимости от задержки величина его меняется от минимальной до максимальной. Это изменение укладывается примерно в 10 градусов угла поворота вала насоса. Для идентичности измерений по всем секциям насоса размер этого факела выбирают одинаковым для всех секций и равным нескольким миллиметрам. Трудность выдерживания этого размера «на глаз» в условиях наличия случайной составляющей ограничивает точность измерений. Направляя затем лампу стробоскопа на лимб стенда, считывают угол начала впрыскивания топлива испытываемой секции.

Существенное влияние на угол начала впрыскивания оказывает величина давления подъема иглы распылителя форсунки. При изменении этого давления на 10 кг/см2 угол впрыскивания изменяется на 0,2 – 0,3 градуса. Это требует очень тщательной регулировки стендовых форсунок и постоянного контроля за их состоянием.

Результирующая погрешность способа составляет порядка ±1 градус.

Применение контактных датчиков впрыскивания в комплексе с электронным блоком  на стендах КИ-22205, КИ-22205-01 устраняет влияние субъективного фактора, а применение цифровой индикации измеренного значения и усреднение позволили снизить погрешность измерения до ±0,5 градуса.

Промежуточное положение между статическим режимом определения геометрического угла начала нагнетания и динамическим режимом угла начала впрыскивания занимает способ, основанный на измерении давления топлива в топливных трубках в динамическом режиме и фиксации момента достижения им заданной пороговой величины. Для снижения влияния отраженной волны эту величину выбирают примерно равной 50 % давления открытия распылителя, т.е. около 100 кг/см2. Такое решение позволяет, с одной стороны, учитывать влияние гидроплотности плунжерных пар, поскольку давление в трубопроводе достаточно высокое, и с другой стороны, исключить влияние регулировки форсунок, т.к. они не участвуют в процессе измерения. Высокая точность, быстродействие, стабильность параметров датчиков давления с учетом последующей обработки сигналов позволяют достичь точности измерений не хуже ±0,4 градуса. Датчики давления включаются, как правило, между трубкой высокого давления и форсункой. Дополнительным достоинством данного способа является возможность по характеру изменения давления производить диагностику технического состояния элементов топливоподающего тракта.

Кроме углов чередования подачи топлива для быстроходных двигателей очень важна проверка и регулировка характеристики автоматической муфты опережения впрыскивания топлива – зависимости угла разворота полумуфт от частоты вращения (угла муфты). В статическом режиме такая проверка невозможна, а в динамическом режиме, при использовании стробоскопа или других датчиков, расположенных у форсунки, в результат измерения включается время распространения волны давления по длине топливной трубки. Это время создает дополнительный угол, изменяющийся вместе с изменением частоты вращения вала и вычитающийся из угла работы муфты. В связи с этим, устройство для измерения угла муфты должно иметь механизм компенсации влияния длины топливной трубки. При измерении объемной подачи насоса измерительная система должна позволять задавать число циклов впрыскивания с шагом в 1 цикл в диапазоне до нескольких тысяч. Для сокращения времени для перенастройки счетчика циклов при измерениях пусковой и номинальной подач топлива желательно, чтобы система имела два независимых счетчика циклов с общим выходом для управления электромагнитом заслонки блока мензурок. Дополнительно современная измерительная система должна обеспечивать оператора сервисной информацией – отклонением углов чередования секций насоса от номинальных значений, запоминание углов и отклонений для использования их при регулировке насоса. Наиболее полно предъявляемым требованиям отвечает универсальная измерительная система «Блок электроники топливного стенда». Эта система может работать с любыми датчиками: давления, контактными, пьезоэлектрическими.

В нашей стране в основном используют стенды КИ-921М, КИ-22205 и их модификации производства Красноуфимского опытно-экспериментального завода (КОЭЗ). Основная часть стендов эксплуатируется уже более 20 лет и значительно устарела. Некоторые типы стендов не позволяют испытывать современные ТНВД. Значительная доля мировых энергетических средств приходится на дизели. Ими оснащают большинство грузовых автомобилей, автобусов, самоходной сельскохозяйственной, судоходной, железнодорожной и буровой техники. Все чаще дизели применяют и на легковых автомобилях. Практически на любом предприятии, имеющем технику  с дизельными двигателями, используется стенд для ремонта и регулировки топливной аппаратуры. К сожалению, объем производства современных отечественных стендов для испытания и регулирования ТНВД очень невелик, а экономическое положение многих предприятий не позволяет произвести замену стендов, поскольку российские стенды стоят от 250 тыс. до 1 млн. руб., а импортные в несколько раз дороже. В связи с этим большой интерес представляет модернизация находящихся в эксплуатации стендов. Она даст возможность поднять их уровень до современного при меньших вложениях.

Для регистрации начала впрыскивания топлива форсункой на топливных стендах производства Красноуфимского опытно-экспериментального завода (КОЭЗ) – это стенды КИ-921М, КИ-921МТ, КИ-22205, КИ-22205-01, используются контактные датчики начала впрыскивания. Устройство контактного датчика показано на рисунке 1.1.

 

Рисунок 1.1 – Контактный датчик

 

 Достоинство у контактного датчика одно – это простота конструкции, недостатков у этого датчика гораздо больше, например:
           – на стендах КИ-921М, КИ-921МТ, КИ-22205 – имеется крайне неудобное крепление форсунок в датчиках общей скобой;
           – плохое уплотнение форсунок в датчиках прокладками. При прижиме форсунки их деформация ничем не ограничивается, поэтому они быстро раздавливаются и выходят из строя;
           – довольно быстро, особенно при испытаниях ТНВД-ЕВРО, разбивается посадочное место шарика в воронке, после чего датчик теряет работоспособность; 

– задержка срабатывания 0,5 градуса;

– частые нарушения контакта в электрической цепи датчика.                                                                                                                                                                                                                                 

Для устранения недостатков контактного датчика, конструкторами была проведена разработка пьезоэлектрического датчика начала впрыскивания. В качестве чувствительного элемента был взят пьезоэлектрический датчик – датчик детонации для инжекторных двигателей автомобилей ВАЗ. Этот выбор определили его низкая стоимость, высокая надежность и легко доступность. При испытаниях было установлено, что разброс параметров датчиков детонации практически не влияет на показания датчиков впрыскивания. Это позволяет производить их замену без дополнительных подстроек и регулировок. Датчики объединены в блок, устанавливаемый в стенд на место блока контактных датчиков. Эти датчики имеют задержку срабатывания около 0,2 градуса при частоте вращения вала насоса 800 об/мин, в отличии от контактных которые имеют задержку равную 0,5 градуса. Задержка объясняется более высоким значением давления в камере впрыскивания, при котором вырабатывается выходной сигнал формирователя, и по времени она составляет 40-45 микросекунд. Эта задержка не вносит дополнительной погрешности, так как при испытании насосов производится измерение углов от базовой до проверяемой секции и при этом происходит ее автоматическая компенсация.

Рисунок 1.2 –Пьезоэлектрический датчик

 

Для регистрации и представления в удобной для человека форме, получаемой информации совместно со стендами КИ-22205 используется блок электроники М3.558.048. Блок электроники М3.558.048 предназначен для измерения и индикации в цифровой форме:

                - частоты вращения вала привода в об/мин;

    - угла начала впрыска;

    - длительности впрыска в угловых градусах;

    - для отработки заданного числа циклов впрыска при измерении подачи топлива.                                                                         

Область применения блока – стационарные стенды КИ-22204 и КИ–22205 для испытания и регулировки дизельной топливной аппаратуры.                                                                                                                  

Основные режимы работы блока электроники М3.558.048 можно описать следующим образом.

Измерение частоты вращения в данном блоке (М3.558.048) основано на подсчете числа импульсов, полученных от датчика оборотов за определенный интервал времени (базу времени). Импульсы с датчика оборотов поступают на усилитель-формирователь. С выхода усилителя импульсы поступают на делитель частоты, состоящий из счетного триггера и делителя на 10 и далее на один из выходов схемы И-НЕ. На второй вход схемы И-НЕ импульс с формирователя базы времени, на вход формирователя подается сигнал с выхода кварцевого генератора. Применением кварцевого генератора достигается высокая стабильность базы времени. Таким образом импульсы с датчика поступают на счетчик только в течении базового времени. Формирователь базы времени вырабатывает также сигнал «Перепись» для записи содержимого счетчика в регистр памяти. После окончания переписи содержимое счетчика в регистр памяти происходит сброс счетчика в нулевое состояние. Сброс осуществляется подачей на входы счетчика импульсов переписи. Таким образом содержимое регистра будет численно равно частоте вращения вала привода, выраженное в об/мин. Из регистра памяти информация поступает на дешифраторы блока индикации. Дешифраторы управляют индикаторами на которых высвечивается частота вращения вала привода в цифровом виде.

Измерение угла начала впрыска основано на подсчете числа импульсов, полученных от датчика оборотов за интервал времени между приходом импульса с датчика впрыска и импульса с датчика верхней мертвой точки (ВМТ). Так как на диске фотоэлектрического датчика нанесено 720 рисок, то один импульс на выходе с датчика оборотов будет соответствовать повороту вала привода стенда на 0,5 градуса.

Сигнал с датчика поступает на схему совпадения, инвертируется и поступает на триггер. В данном электронном блоке имеется схема устранения дребезга контактов датчика впрыска. Сформированный сигнал датчика впрыска дифференцируется, с прямого выхода триггера через схему задания режимов сигнал поступает на один из входов схемы И-НЕ. Все схемы задания режимов в блоке управляются переключателем рода работы, расположенным на переднее панели блока. Далее серия счетных импульсов поступает на другой триггер, который работает в режиме деления на 2, с выхода которого через схему задания режимов и инвертор поступает на вход счетчика. После прихода импульса с датчика ВМТ усилитель, инвертор и дифференциальную цепь  триггер перебрасывается и следование счетных импульсов на вход счетчика прекращается. Таким образом, на вход счетчика счетные импульсы поступают только между моментами импульса с датчика впрыска и импульсом с датчика ВМТ. Этот процесс повторяется десять раз и содержимое счетчика, в итоге численно становиться равно десяти измерений угла начала впрыска. После десятого измерения делитель на десять вырабатывает сигнал, который пройдя схему задания режимов и инвертор переписывает содержимое счетчика в регистр памяти, откуда информация поступает на дешифраторы блока индикации и индикаторы. Задний фронт импульса делителя на десять, выделенный дифференцирующей схемой, пройдя схему задания режимов производит сброс счетчика в нулевое состояние.

Измерение угла длительности впрыска основано на подсчете числа импульсов, полученных от датчика оборотов за интервал времени, соответствующий длительности импульса, приходящего с датчика впрыска. Сигнал с датчика инвертируется и через схему задаия режимов поступает на один из входов схемы И-НЕ. На второй вход схемы И-НЕ поступает счетные импульсы. С выхода схемы И-НЕ поступает серия счетных импульсов только во время впрыска. Запись информации в регистр памяти. Индикация результата измерения угла начала впрыска идентична режиму измерения угла начала впрыска топлива.

Измерение числа циклов впрыснутого топлива основано на подсчете импульсов поступающих от датчика ВМТ. В этом режиме сигнал с датчика ВМТ усиливается и поступает на один из входов схемы совпадения. При нажатой кнопке Пуск, на другом входе усиливается сигнал разрешения прохождения сигнала ВМТ. Далее сигнал ВМТ поступает на схему задания режима и на одновибратор. Одновибратор формирует импульс «Перепись» , который через схему задания режимов  и инвертор поступает в регистр памяти. Импульсы ВМТ через схему задания режимов и инвертор поступают на счетчик. В этом режиме каждый импульс, поступающий на счетчик, переписывается в регистр. Информация с регистра поступает на дешифраторы блока индикации, высвечивая на индикаторах число, прошедших циклов впрыскивания топлива, и в блок сравнения кодов, в котором сравнивается с заранее установленным числом циклов, заданным программным переключателем. При равенстве числа в регистре заданного программным переключателем, устройство сравнения вырабатывает сигнал «Стоп», который сбрасывает триггер, с инверсного выхода которого снимается сигнал, запрещающий дальнейшее прохождение сигнала ВМТ, а с прямого выхода импульс идет на транзисторный ключ. При этом ключ закрывается, отключается реле управления заслонкой. Реле расположено в стенде испытания дизельной топливной аппаратуры.

Сброс показаний индикатора в этом режиме осуществляется нажатием кнопки «Стоп». В этом случае счетчик сбрасывается, а нулевое состояние счетчика переписывается сигналом с анода диода. Измерение в режиме «Подача» на стенде испытания дизельной топливной аппаратуры начинается с нажатия кнопки «Пуск», расположенной на передней панели блока электроники.

Датчик фотоэлектрический состоит из двух оптоэлектронных пар светодиод-фотодиод и усилитель формирователей. На диодах и транзисторе собран датчик ВМТ, также на диодах и транзисторе собран датчик оборотов. Диск, укрепленный на валу привода стенда, своей рабочей частью входит  в зазор фотоэлектрического датчика. При вращении вала привода на фотодиоды поступает прерывистый световой поток. Импульсы с фотодиодов через усилители поступают на вход блока электроники. За один оборот вала привода стенда диск прерывает световой поток, поступающий на фотодиод один раз, и на семьсот двадцать раз. Таким образом за один оборот вала с выхода датчика ВМТ поступает один импульс, а  с выхода датчика оборотов - семьсот двадцать импульсов.

Ранее также применялись механические стенды позволявшие измерить основные параметры топливных насосов с большой погрешностью, что приводило в конечном итоге к неправильной работе топливной аппаратуры и значительным расходам на топливо, вылетающее в трубу при подобной регулировке. Стенды с электронным блоком, которые были описаны выше, поставляемые взамен механическим ушли от них недалеко вперед. Принципы измерения углов, заложенные в них, позволяют полноценно работать стенду не более полугода, после чего выходит из строя оптическая система . Оптический диск восстановлению не подлежит. Обычно после этого электронный блок отключают и продолжают регулировку без него.

 

 

 

 

2 Анализ ТЗ и обоснование основных решений

 

В соответствии с техническим заданием необходимо разработать усовершенствованный измерительный блок параметров  топливной системы дизельного двигателя. Модернизация измерительной системы стенда позволяет при небольших финансовых вложениях значительно повысить его технический уровень, более качественно и с меньшими затратами времени регулировать топливные насосы. Применение современной микропроцессорной техники позволило создать измерительные системы, обладающие развитыми функциональными возможностями, высокой точностью измерений, надежные и удобные в эксплуатации и очень простые в установке на стенды.

Прибор, описываемый ниже, предназначен для работы совместно со стендом испытания топливной аппаратуры дизельных двигателей и полностью заменяет штатный электронный блок стенда. Он свободен от упомянутых выше недостатков.

Данный электронный блок позволяет измерить и отобразить:

а) обороты вала топливного насоса;

б) угол начала впрыска форсунки относительно верхней мертвой точки        (ВМТ) первой секции топливного насоса;

в) угол открытого состояния форсунки;

г) задать и отсчитать нужное количество циклов впрыска топлива при этом происходит управление электромагнитом отсечки топлива, расположенным на стенде.

Усовершенствованный электронный блок должен удовлетворять основным техническим характеристикам представленным в ТЗ. Исходя из которых:

                - рабочий диапазон температур окружающего воздуха 10 – 35 °С;

- питание от источника постоянного тока 220 В ± 10 %;                                                                          - потребляемая мощность не более 50 Вт;                                                                     - относительная влажность воздуха при температуре +20 °С не более 65 %;                         - атмосферное давление от 650 до 800 мм. рт. ст.

Для измерения параметров используется оптический диск. Точность в новом разрабатываемом устройстве зависит от времени срабатывания фотоэлемента, так как параметры идеального  и реального импульсов отличаются. Амплитуда импульса Um (Im) - максимальное значение импульсного напряжения или тока от начального уровня U0 (I0). Длительность импульса tu – интервал времени от момента появления импульса до его окончания. При использовании реальных импульсов у которых скорость изменения напряжения на начальных и конечных стадиях мала, выделить «начало» и «конец» сложно, поэтому отсчет длительности ведут от заранее выбранного напряжения  (тока) равное 0,1Um. Иногда длительность импульса считают на уровне 0,5Um. Длительность импульса измеренную на уровне 0,5 от Um называют активной и обозначают tu. Период повторения импульсов (Т) – отрезок времени между началом двух соседних однополярных импульсов. Обратная величина периоду (1/Т) называется частотой повторения или частотой следования (f). Эта частота определяет количество импульсов в течение 1 секунды, измеряется в Герцах (Гц). Коэффициент заполнения (j) – это величина безразмерная и всегда меньше 1. Величина обратная коэффициенту заполнения (q) называется скважность импульса. Длительность фронта импульса – под ним понимают боковую сторону импульса. Различают передний и задний фронт. Длительность переднего фронта определяет время нарастания импульса. Длительность заднего фронта определяет время спада импульса.

 

Рисунок 2.1 – Импульс идеальный

Рисунок 2.2 – Импульс реальный

 

Оптический диск, который будет использоваться для измерения необходимых параметров, будет иметь одну прорезь.

 

Рисунок 2.3 – Оптический диск

 

При работе прибора измерения производятся следующим образом. В момент когда прорезь диска проходит через оптическую систему, происходит запуск автогенератора, который формирует импульсы. Вычислить угол начала впрыска форсунки относительно ВМТ с помощью данной системы можно по формуле (2.1).

 

                                                                                                   (2.1)

 

   

 

 

где  Х - количество импульсов от автогенератора за один оборот;

       N– количество импульсов, созданных автогенератором за интервал времени между приходом импульса с датчика впрыска и импульса с датчика ВМТ .

 

 

Рисунок 2.4 – Измерение угла начала впрыска

 

Длительность впрыска можно вычислить по формуле (2.2).

Скорость вала можно измерить с помощью формулы (2.3).

 

       ,                                                                                                                  (2.2)

                                                                                                                                 (2.3)       

 

где  Т – период импульсов;

       К– количество импульсов, созданных автогенератором за интервал времени, соответствующий длительности импульса, приходящего с датчика впрыска.

 

Рисунок 2.5 – Измерение длительности впрыска

 

Количество выработанных автогенератором импульсов можно регулировать, их число будет высокостабильным, так как автогенератор стабилизируется кварцем. Это позволит проводить измерения с более меньшей погрешностью.

Прибор выполнен на базе однокристальной микро-ЭВМ типа PIC16F877. Отображение информации производится на семи сегментном светодиодном индикаторе или любом другом, подходящем по размерам и проводимости. Значительное уменьшение количества элементов позволяет существенно увеличить общую надежность системы, а также существенно снизить его цену.

 

Рисунок 2.6 – Схема измерительного блока

 

 

 

 

3 Разработка периферийного модуля

 

  • 1 Узел центрального процессора

Центральным узлом устройства является микроконтроллер PIC16F877 с минимально необходимыми элементами обвязки [10].

            Расположение выводов микроконтроллера приведено на рисунке 3.1.

 

 

Рисунок 3.1 - Расположение выводов микроконтроллера

 

Свойства ядра микроконтроллера:

- высокоэффективный RISC ЦПУ;

- 35 команд с длинной в одно слово;

- выполнение команд за один цикл за исключением команд перехода;

- рабочая частота до 20 МГц (мин. 200 нс на команду);

- объем памяти до 8 К слов (слово длиной 14 бит);

- до 368 байт ОЗУ;

- до 256 байт энергонезависимой памяти данных;

- полная лучшая замена PIC16C77;

- работа с прерываниями (до 14 источников прерывания, включая внешние);

- 8-и уровневый аппаратный стек;

- прямая, косвенная и относительная адресация;

- встроенный генератор сброса при включении питания (Power-on Reset);

- таймеры запуска при включении питания и старте генератора (Power-up Timer - PWRT и Oscillator Start-up Timer - OST);

- сторожевой таймер с внутренним RC-генератором для увеличения надежности системы;

- программируемая защита кода;

- режим микропотребления (SLEEP mode);

- выбираемый тип генератора;

- малопотребляющая высокоскоростная CMOS технология;

- полная статическая архитектура;

- внутрисхемное последовательное программирование (ISCP);

- чтение/запись программной памяти;

- внутрисхемная отладка;

- широкий диапазон питающих напряжений от 2,0 до 5,5 В;

- высокий втекающий/вытекающий ток через вывод порта 25 мА;

- промышленный (от минус 40 до +85 °С) и коммерческий диапазоны температур (от 0 до +70 °С);

- низкое потребление энергии.

Свойства периферийных устройств:

а) таймер0: 8-и разрядный таймер/счетчик с 8-битным предделителем;

б) таймер1: 16-и разрядный таймер/счетчик с предделителем может работать в режиме Sleep от внешнего источника (кварца, генератора);

в) таймер2: 8-и разрядный таймер/счетчик с 8-битным регистром периода с предделителем и постделителем;

г) два модуля захвата, сравнения и ШИМ:

    1) модуль захвата 16-бит, макс. разрешение 12,5 нс;

    2) модуль сравнения 16-бит, макс. разрешение 200 нс;

    3) модуль ШИМ с максимальным разрешением 10 бит;

д) параллельный 8-битный SLAVE порт с поддержкой внешних сигналов RD, WR и CS;

е) 10-и разрядный многоканальный (5/8 каналов) АЦП, минимальное время преобразования 20 мкс;

ж) синхронный последовательный порт (SSP) с SPI (мастер-режим) и I2C;

з) универсальный синхронный/асинхронный приемник - передатчик USART с поддержкой 9-и битного режима адресации;

и) схема детектора пониженного напряжения для генерации сброса Brown-out Reset (BOR).

Микроконтроллеры PIC16F877 не имеют встроенного тактового генератора, работающего без внешних элементов. Для тактирования необходим либо резонатор, кварцевый или керамический, либо независимый тактовый генератор. Был использован кварцевый резонатор, подключенный согласно схеме на рисунке 3.2.

 

           

                            

Рисунок 3.2 – Узел МК

 

 

Этот способ позволяет точно задать тактовую частоту микроконтроллера (разброс частот обычно составляет не более 0,01 %). Оптимальная частота была выбрана равной 3,6864 МГц. Конденсаторы С1 и С2 увеличивают стабильность работы кварцевого генератора, но при этом несколько увеличивают время его запуска. Номиналы емкостей конденсаторов в схеме определяются производителем микроконтроллера для конкретной резонансной частоты кварца. Для данной схемы они равны C1, С2 = 15÷33 пФ.

Все микроконтроллеры, в том числе и микроконтроллеры семейства 16F87x, имеют вывод сброса, называемый в данном случае MCLR. У PIC-микроконтроллеров предусмотрена внутренняя схема автоматического сброса при включении питания. Запуск микроконтроллера должен иметь место только тогда, когда установилось требуемое напряжение питания. В данном устройстве было решено применить еще и внешнюю схему сброса (рисунок 3.2). Резистор R1, значение сопротивления которого может варьироваться от 100 Ом до 1 кОм, предназначен для защиты входа MCLR.

 

 

 

 

  • 2 Узел контроллера МС14489ВР

В измерительном блоке для управления семисегментными индикаторами применен специализированный контроллер MC14489BP [14]. Управление светодиодными индикаторами становится более простым при использование соответсвующих драйверов. Контроллер MC14489BP принимает от МК в последовательном двоичном коде символы, выполняет преобразование в семисегментный код и управляет работой индикаторов. Таким образом, структура измерительного блока предопределяет способ управления семисегментными индикаторами: блок индикации будет состоять из контроллера MC14489BP и собственно индикаторов.

Расположение выводов контроллера приведено на рисунке 3.2

 

 

Рисунок 3.3 – Расположение выводов контроллера MC14489BP

 

Этот контроллер содержит электрическую схему защиты, чтобы избегать воздействия высокого статического напряжения. Тем не менее, необходимо принимать меры предосторожности, чтобы избегать любого напряжения выше, чем максимально-допустимое. Для этого Vin и Vout  должны лежать в диапозоне VSS≤(Vin(-0.5VDD +0.5В) или Vout(-0.5VDD +0.5В))≤VDD. Соединение с МК осуществляется с помощью синхронного последовательного порта (совместим с SPI и MICROWIRE). Контроллер рассчитан на управление индикаторами с общим катодом. В контроллере реализована схема динамической индикации.

Структурная схема контроллера приведена на рисунке 3.4.

Особенности контроллера:

- максимальное количество разрядов – 5;

- диапазон управляющего напряжения – от 4,5 до 6 В;

- диапазон рабочих температур от минус 40 °С до + 130 °С;

- выходной ток на индикаторы задается одним единственным резистором;

- интерфейс управляющий общими катодами выполнен на МОП-транзисторах с низким внутренним сопротивлением;

- энергосберегающий режим (погашены все индикаторы) и регулировка яркости через последовательный порт;

 

Рисунок 3.4 - Структурная схема контроллера

 

- специальная схема которая минимизирует электромагнитные помехи во время работы дисплея и устраняет их в энергосберегающем режиме;

Электрические характеристики контроллера:

- диапазон питающих напряжений – от 4,5 до 6 В;

- максимальный выходной ток через выводы управляющие анодами – 10 мА;

- максимальный выходной ток через выводы управляющие общими катодами – 320 мА;

- максимальный потребляемый ток – 350 мА.

 

 

 

 

 

  • 3 Семисегментный индикатор

 

Для реализации узла цифровой индикации было произведено сравнение различных схем подключения индикаторов на 4 разряда к МК.

Был произведен выбор типа индикатора. Среди возможных вариантов были рассмотрены следующие типы индикаторов:

- ЖКИ;

- люминесцентный;

- светодиодный.

Наиболее простым в использовании и, что особенно важно, самым дешевым, является вариант с использованием светодиодного индикатора.

Цифровой светодиодный индикатор представляет собой несколько объединенных в одном корпусе одиночных светодиодов. В зависимости от количества индикаторов могут применяться различные варианты схем управления. Многосегментный индикатор имеет выводы сегментов, предназначенные для управления сегментами, и один общий вывод, который обеспечивает питание всех сегментов. Этот общий вывод обычно используется для идентификации семисегментного светодиодного индикатора. Индикатор может быть выполнен по схеме с общим катодом или по схеме с общим анодом.

Объединение нескольких семисегментных индикаторов предусматривает подключение их параллельным образом и коммутацию общих электродов. Поскольку ток через общие электроды значителен и не может обеспечиваться с помощью одного вывода микроконтроллера, приходится использовать дополнительный транзистор, который формирует требуемый ток и осуществляет выбор индикатора. PIC-микроконтроллер обеспечивает переключение индикаторов за весьма короткое время, в течении которого высвечивается соответствующая цифра. Подобный принцип, называемый динамической индикацией, обычно поддерживается программой, которая использует инициируемую таймером подпрограмму обработки прерываний.

Для исключения эффекта мерцаний цикл высвечивания индикаторов должен задаваться так, чтобы каждая цифра высвечивалась не реже 50 раз в секунду. При этом чем больше разрядов требуется высветить, тем быстрее должна выполняться программа обработки прерываний и тем меньшим по времени должен быть цикл её выполнения. При относительно простой аппаратной реализации данный вариант накладывает серьезные требования на программное обеспечение. Также при таком варианте узел цифровой индикации займет 12 линий портов МК.

Если требуется управлять многоразрядными индикаторами при помощи небольшого числа линий портов, то существуют два решения. Первое – использовать внешний декодер, а второе – микросхему специализированного контроллера индикации.

Выбор был остановлен на наиболее рациональном и дешевом варианте. В качестве индикаторов были выбраны сдвоенные семисегментные индикаторы DC56-11YWA фирмы Kingbright. Для управления индикаторами применен специализированный контроллер фирмы Motorola MC14489BP.

Сформированная принципиальная схема узла цифровой индикации приведена на рисунке 3.9.

 

 

Рисунок 3.5 - Схема узла цифровой индикации

 

Сопротивление резистора R3 подключенного к выводу 8 контроллера определяет максимальный ток через индикаторы. Его значение может быть от 700 Ом до бесконечности. Для индикаторов DC56-11YWA задаем ток 15 мА, при этом сопротивление резистора R3 должно лежать в пределах 1,6-2,4 кОм. Резистор R4 необходим для уменьшения воздействия шумов. Рекомендованное значение его сопротивления лежит в пределах от 1 до 10 кОм. Цепочки R1,C и R2,C установлены для исключения ВЧ-помех, которые возникают в процессе динамической индикации.

Рассчитаем мощность, рассеиваемую драйвером:

 

                                                                                                 (3.1)

 

где  - мощность, рассеиваемая внутренним чипом драйвера, мВт;

       - мощность, рассеиваемая схемой, мВт.

 

,                                                             (3.2)

 

где:  - максимальное напряжение питания, 5,25 В;

         - максимальный ток анода при максимальной яркости, мА;

         - сопротивление ограничивающего резистора, 2 кОм.

 

,                                                                            (3.3)

 

где  - максимальный ток анода драйвера, 35 мА;

        - количество используемых анодов, 8 шт.;

         - минимальное падение напряжения на индикаторе, 1,8 В;

         - количество используемых банков, 4 шт.

 

 А,

 

 мВт,

 

 мВт.

 

Тогда мощность, рассеиваемая драйвером:

 

 мВт.

 

 

  • 4 Блок питания с узлом стабилизации напряжения
  • Блок питания преобразует переменный ток сети 220 В и частотой 50 Гц в стабилизированное напряжение +5 В, +12 В, минус 12 В, минус 5 В для питания узлов. Блок питания состоит из понижающего трансформатора, первичная обмотка которого рассчитана на сетевое напряжение. К блоку питания подключаются два выпрямителя, преобразующие переменное напряжение в постоянное (пульсирующее однонаправленное). Первый выпрямитель состоит из одного диода (однополупериодный выпрямитель), второй из четырёх диодов, образующих диодный мост (двухполупериодный выпрямитель). Основная мощность блока распределена между напряжениями +5 В и +12 В. Напряжение +5 В поступает, в основном, на питание микроконтроллера, контроллера MC14489BP. Напряжение +12 В необходимо для подачи на тразисторный ключ.
  • Узел стабилизации напряжения выполнен на интегральном стабилизаторе 142ЕН5А. Микросхема включена по типовой схеме (рисунок 3.6). Конденсаторы используются для фильтрации напряжения питания.

 

Рассчитаем мощность выделяемую на стабилизаторе:

 

,                                                                                 (3.4)

 

,

 

где  - мощность, рассеиваемая ЦПУ, 1 Вт;

           - напряжение коммутации ключей, 5 В;

           - ток коммутации ключей, 5 мА;

      

Рассеиваемая мощность на стабилизаторе превышает допустимую мощность в 1Вт. В связи с этим стабилизатор необходимо установить на радиатор.

  • Рисунок 3.6 – Блок питания с узлом стабилизации напряжения
  • 5 Реле
  • Реле электрический аппарат, предназначенный для коммутации электрических цепей (скачкообразного изменения выходных величин) при заданных изменениях электрических входных величин. Реле (К1) используемое в схеме
  • своими нормально разомкнутыми контактами подключается к реле, расположенному в стенде испытания дизельной аппаратуры, включающему электромагнит отсечки топлива. Реле должно иметь достаточно мощные контакты, поэтому используется транзисторный ключ, собранный на универсальном низкочастотном, мощном транзисторе КТ815 и транзисторе КТ315.
  • Рисунок 3.7 – Узел подключения реле
  • 6 Фотоэлемент
  • В качествеве фотоэлемента в данном устройстве используется фотодиод. Излучатель в данной фотоэлементе – диод арсенидгалиевый, приемник – кремниевый фототранзистор.
  • Рисунок 3.8 – Фотоэлемент
  • При Iвх=10 мА фотоэлемент имеет входное напряжение не более 1,5 В, коффициент передачи по току не менее 1 %. Время наростания и спада выходного импульса при Iвх= 20 мА равно 100 нс.

 

 

 

 

 

 

3.7 Автогенератор

 

 

Автогенератор вырабатывает электрические (электромагнитные) колебания, поддерживающиеся подачей по цепи положительной обратной связи части переменного напряжения с выхода автогенератора на его вход [6]. Это будет обеспечено тогда, когда нарастание колебательной энергии будет превосходить потери (когда петлевой коэффициент усиления больше 1). При этом амплитуда начальных колебаний будет нарастать. На рисунке 3.10 показана схема автогенератора (микросхема представляет собой четыре логических элемента 2И-НЕ), в которой положительная обратная связь через конденсатор охватывает два элемента DD1.1 и DD1.2. DD1.3 и DD1.4 применяются в данной схеме как буферные, чтобы уменьшить влияние нагрузки на частоту автогенератора. Выходные импульсы стабилизируются кварцем (ZQ2=100кГц). Сигнал с автогенератора подается на TMR1 микроконтроллера.

TMR1 16-разрядный таймер/счетчик, сосотоящий из двух 8-разрядных регестров (TMR1H, TMR1L) доступных для чтения и записи. Счет выполняется в спаренных регистрах (TMR1H:TMR1L), инкрементируя их значения от 0000h до FFFFh, далее считает с 0000h. Работа TMR1 от внешнего источника тактового сигнала выбирается установкой бита TMR1CS в «1». В этом режиме приращение таймера происходит по каждому переднему фронту сигнала на выводе RC1/T1OSI/CCP2. Генератор подключается к выводам T1OSI (вход) и T1OSО (вход усилителя).       

 

 

 

Рисунок 3.10 – Автогенератор

 

\

 

 

 

4 Разработка программного обеспечения

 

 

Написание и отладка программы производились в интегрированной среде проектирования MPLAB IDE, в которой объединены все необходимые инструментальные средства. Программное обеспечение MPLAB IDE предназначено для разработки программного обеспечения 8-разрядных микроконтроллеров PICmicro, работающее под управлением операционной системы Windows.

 

 

  • 1 Основная программа

 

 

Необходимо выполнить инициализацию всех используемых встроенных модулей микроконтроллера, контроллера MC14489 и используемых ячеек памяти микроконтроллера.

Микроконтроллер должен реагировать на такие основные события как:

- переполнения таймера;

  - нажатия кнопок «пуск\стоп», «Кн1», «Кн2», Кн3» и «Кн4»   в произвольные моменты времени;

  - вывод интересующей информации на индикатор.

Микроконтроллер должен реагировать на эти события при возникновении прерывания в программе от таймера ТМR1 и программно опрашивать линии порта ввода, при этом программа микроконтроллера должна содержать подпрограмму обработки прерывания от таймера TMR1. Микроконтроллер семейства PIC16F87х имеют 14 источников прерываний. Основная программа должна содержать бесконечный цикл, в теле которого будут реализованы функции:

- вывода информации о подсчитанном количестве оборотов двигателя, измеренных угле начала впрыска топлива, угле длительности впрыска, числа циклов в  последовательном коде в блок индикации и на индикатор;

- опроса линий порта ввода.

 

 

 

 

 

Рисунок 4.1 – Схема программы

 

 

  • 2 Подпрограмма измерения частоты вращения
  • Измерение частоты вращения основано на подсчете числа импульсов, полученных от автогенератора за определенный интервал времени (базу времени). После возникновения прерывания начинается выполнение подпрограммы измерения частоты вращения. В этой подпрограмме при поступлении импульса от датчика оборотов происходит увеличение содержимого счетчика, если импульса нет то ожидание. По истечении базы времени происходит вывод результата на семисегментный диодный индикатор. После вывода результата на индикатор содержимое счетчика очищается, если кнопка «Кн0» нажата, то переход в следующий режим, иначе повторное измерение частоты вращения.

 

 

  • Рисунок 4.2 – Подпрограмма измерения частоты вращения

 

4.3 Подпрограмма измерения угла начала впрыска

 

 

 

  • Измерение угла начала впрыска основа на подсчете числа импульсов, полученных от автогенератора за интервал времени между приходом импульса с датчика впрыска и импульса с датчика верхней мертвой точки (ВМТ). В данном режиме при поступлении импульса от датчика впрыска происходит подсчет подсчет количества импульсов от автогенератора, если импульс не поступил, то ожидание. После поступления импульса от датчика ВМТ подсчет прекращается, иначе продолжить счет. По истечении времени подсчета, вычислить угол начала впрыска и индикация. После индикации очистить содержимое счетчика, если кнопка «Кн0» нажата, то переход в следующий режим, иначе повторное измерение угла начала впрыска.

  

 

 

 

  • Рисунок 4.3 – Подпрограмма измерения угла начала впрыска

 

 4.4 Подпрограмма измерения угла длительности впрыска

                 

 

  • Измерение угла длительности впрыска основано на подсчете числа импульсов, полученных от автогенератора за интервал времени, соответствующий длительности импульса, приходящего с датчика впрыска. В данном режиме после прихода импульса начала впрыска происходит подсчет импульсов от автогенератора, если импульса нет, то ожидание. После поступления импульса окончания впрыска счет импульсов прекращается и происходит вычисление угла длительности впрыска и вывод на индикацию, если импульс не поступил, то ожидание. После индикации очистить содержимое счетчика, если кнопка «Кн0» нажата, то переход в следующий режим, иначе повторное измерение угла длительности впрыска.

 

 

 

 

  • Рисунок 4.4 – Подпрограмма измерения угла длительности впрыска

4.5 Подпрограмма измерения числа циклов

 

 

  • Измерение числа циклов основано на подсчете импульсов поступающих от датчика ВМТ. При поступлении сигнала от датчика ВМТ происходит увеличение содержимого счетчика, если импульс не поступил, то ожидание. При окончании счета вывод содержимого счетчика на индикацию, после индикации очистить содержимое счетчика, если кнопка «Кн0» нажата, то переход в следующий режим, иначе повторное измерение числа циклов.

 

  • Рисунок 4.5 – Подпрограмма измерения числа циклов

 

5 Разработка конструкции

 

 

 

Печатная плата устройства сбора и предварительной обработки разработана в среде САПР P-CAD 2002. Разработка включала следующие основные этапы:

- анализ принципиальной схемы;

- создание библиотек графических обозначений и посадочных мест используемых электрорадиоэлементов посредством программ Symbol и Pattern Editor соответственно;

- упаковка выводов конструктивных элементов с помощью администратора библиотек Library Executive;

- формирование и редактирование принципиальной схемы с помощью графического редактора электрических схем Schematic;

- проверка созданной схемы на наличие синтаксических ошибок утилитой ERC;

- размещение конструктивных элементов с помощью редактора PCB;

- трассировка проводников печатной платы также с помощью PCB.

Для получения принципиальной схемы в графическом редакторе электрических схем Schematic необходимо загрузить библиотеки, если они не загружены, такие как:

- микросхемы.lib;

- соеденители.lib;

- конденсаторы.lib;

- резисторы.lib;

- разъемы.lib;

- стабилитроны.lib;

- диоды.lib;

- индикаторы.lib.

Заготовки для жестких печатных плат представляют собой несколько спрессованных слоев стекловолокна (обычно 8 слоев), покрытых медной фольгой. Пространство между слоями заполнено наполнителем. Самый простой способ расположения стеклянных волокон - когда они перпендикулярны друг другу. При различной ориентации волокон в слоях прочностные характеристики материала становятся одинаковыми по всем направлениям. Материалы печатных плат выполняю роль диэлектрика и различаются своими электрическими , механическими и температурными особенностями. Наиболее важные характеристики, которые учитываются при выборе диэлектрика, являются диэлектрическая постоянная и температура стеклования.

Для изготовления печатной платы был выбран стеклотекстолит СФ-2-35-1.5. Стеклотекстолит фольгированный марки СФ (ГОСТ 10316-78) - представляет собой листы, изготовленные на основе стеклотканей, пропитанных связующим на основе эпоксидных смол материалов и облицованные с одной или двух сторон медной электролитической гальваностойкой фольгой толщиной 35 мкм.

   Стеклотекстолит СФ-2-35-1.5 имеет очень высокие механические и электроизоляционные свойства, хорошо поддается механической обработке резкой, сверлением, штамповкой.

  Данный материал рекомендуется для изготовления печатных схем, плат и других изделий для работы в условиях нормальной и повышенной относительной влажности окружающей среды при температуре от минус 60 °С до +85 °С.

Важным фактором, влияющим на установочную площадь и объем является тепловой фактор. Детали РЭА могут нагреваться как за счет собственной выделяемой энергии, так и за счет близко расположенных элементов РЭА (резисторы с большой мощьностью рассеивания, мощные транзисторы и диоды, трансформаторы питания).

При компоновке на плате элементов разрабатываемого устройства необходимо проанализировать задачу в следующей последовательности:

- исходя из назначения устройства, оценить ожидаемую сложность компоновки элементов;

- оценить особенности монтажа элементов и регулировки устройства как по частям, так и в целом, обеспечивающих нормалбную эксплуатацию устройства;

- предусмотреть все механические крепления и места под винты и гайки, заклепки и т.п.;

- выполнить эскиз компоновки элементов устройства с органами управления и индикаторами.

Для получения более оптимального варианта размещение элементов выполнялось в ручном режиме. Критериями оценки результата при этом служили длина и плотность электрических связей.

Трассировка печатных проводников также выполнялась в ручном режиме в соответствии с ГОСТ 23751-86. При этом учитывалась длина и толщина создаваемых проводников, количество изломов, переходных отверстий, а также величина зазоров между соседними элементами всего печатного рисунка. Трассировка выполнена в двух слоях с шагом 1,25 мм.

После решения задач синтеза конструкции необходимо выполнить верификацию полученного проектного решения на соответствие принципиальной электрической схеме и соблюдение заданных конструкторско-технологических норм. В ходе решения задачи верификации выполняются и устраняются несоответствия между схемой и печатной платой и нарушения технологических требований. После   выполнения верификации было принято решение о корректности полученного проектного решения.

Так как разрабатываемый электронный блок не крепиться непосредственно к стенду регулировки топливной аппаратуры, а устанавливается непосредственно на зафиксированную поверхность, то требованиями виброустойчивости можно пренебречь.  

Полученный чертеж печатной платы представлен в документе Сборочный чертеж представлен в документе

 

 

6 Безопасность труда

 

6.1 Анализ опасных и вредных факторов и обеспечение безопасных   условий труда

 

 

Опасный фактор - это производственный фактор, воздействие которого на работающего, в определенных условиях, приводит к травме или  внезапному резкому ухудшению здоровья. Если же производственный фактор приводит к заболеванию или снижению работоспособности, то его считают вредным (ГОСТ 12.0.002-80).

Несоблюдение правил организации труда приводит к преждевременному утомлению из-за перенапряжения отдельных органов, нерационального чередования движений, монотонности выполняемой работы. Неправильное цветовое и архитектурное решение интерьера вызывают отрицательные эмоции. Наконец, наличие опасностей, когда у человека нет уверенности в обеспечении безопасности во время работы, отвлекает, нервирует и утомляет.

Государственный стандарт ГОСТ 12.0.002-80 «ССБТ. Термины и определения» определяет условия труда как «совокупность факторов производственной среды, оказывающих влияние на здоровье и работоспособность человека в процессе труда».

Опасные и вредные производственные факторы по природе возникновения делятся на следующие группы:

  • физические;
  • химические;
  • психофизиологические;
  • биологические.

В помещении производственного цеха, занимающегося регулировкой топливной системы дизельного двигателя, на работника могут негативно действовать следующие физические факторы:

  • повышенная и пониженная температура воздуха;
  • повышенная и пониженная влажность воздуха;
  • недостаточная освещенность рабочего места;
  • превышающий допустимые нормы шум;
  • повышенный уровень содержание вредных примесей в воздухе;
  • повышенный уровень статического электричества;
  • опасность поражения электрическим током.

К опасным химическим факторам, постоянно действующим на работника, относится образование вредных примесей (окиси углерода, окислов азота, альдегидов, углеводородов, аэрозоли свинца) в результате работы стенда для регулировки топливной аппаратуры  и чрезмерная запыленность воздуха. Количество вредных веществ в воздухе рабочей зоны ограничивается предельно допустимыми концентрациями (ПДК) и не должно превышать 0.8 ПДК. Предельно допустимые концентрации вредных веществ в воздухе рабочей зоны представлены в таблице 6.1 согласно ГН 2.2.5.686-98.

 

Таблица 6.1 – ПДК вредных веществ в воздухе рабочей зоны

Наименование вещества

      ПДК, мг/куб.м

        Класс опасности

Оксид углерода

              20

                    IV

Углеводород

              30

                    IV

Свинец

              0,01

                     I

Диоксид азота

               5

                    III

Диоксид серы

              10

                    III

 

По степени воздействия на организм вредные вещества подразделяют на четыре класса: I - чрезвычайно опасные; II - высоко опасные; III - умеренно опасные; IV - малоопасные.

К вредным психофизиологическим факторам, воздействующим на оператора в течение его рабочей смены, можно отнести следующие:

  • нервно – эмоциональные перегрузки;
  • умственное напряжение;
  • перенапряжение некоторых групп мышц;
  • перенапряжение зрения.

Для эффективной, плодотворной работы операторов, для  повышения работоспособности и укрепления их здоровья, необходимо приведение перечисленных выше факторов в оптимальное или допустимое состояние.

Исследование и анализ условий труда был проведен на основе сравнения фактических условий, нормированными в соответствии с санитарными правилами и нормами (СП 2.2.2.1327-03) по следующим параметрам:

  • требования к стендам для регулировки топливных насосов высокого давления (ТНВД);
  • требования к помещениям для эксплуатации стендов;
  • требования к микроклимату, содержанию химических веществ в воздухе;
  • требования к шуму и вибрации;
  • требования к освещению помещений и рабочих мест;
  • требования к организации и оборудованию рабочих мест;
  • требования к организации режима труда и отдыха.

      Проведем анализ условий труда в помещении.

Помещение производственного цеха, занимающегося регулировкой топливной системы дизельного двигателя, оснащено 1 стендом для регулировки ТНВД. Все компоненты стендов удовлетворяют шведским стандартам безопасности и экологичности TCO-99 и TCO-03, являющимся наиболее жёсткими и признанными во всём мире в качестве международных стандартов.           

Вращающиеся элементы стенда закрыты специальными корпусами. На электронный блок нанесено антистатическое покрытие. Стенд для регулировки ТНВД установлен на плотформу снижающую его вибрацию. Таким образом, имеющиеся электронный блок и стенд удовлетворяют требования СанПиН п.2.

           Питание оборудования производится от сети напряжения 220 В.     

           Электропитание и заземление выполнены по правилам ПУЭ.

      Для обеспечения комфортной работы операторов, практикантов в помещении необходимым фактором является освещенность. В помещении используется искусственное и естественное освещение. На уровень освещенности помещения оказывает влияние цветовая отделка интерьера и оборудования, их отражающая способность.

Необходимые уровни освещенности нормируются в соответствии со СНиП 23-05-95 «Естественное и искусственное освещение» в зависимости от точности выполняемых производственных операций, световых свойств рабочей поверхности и рассматриваемой детали, системы освещения.

Естественное освещение осуществляется через светопроемы, ориентированные преимущественно на запад и обеспечивает коэффициент естественной освещенности (КЕО) не ниже 1,2 %, а допустимые значения по СНиП 23-05-95 «Естественное  и  искусственное  освещение» должно быть 2,3 % в зонах с устойчивым снежным покровом.

При недостаточном естественном освещении (в темное время суток) применяют искусственное освещение. Искусственное освещение в помещении  со стендами осуществляется системой равномерного освещения. Освещенность на поверхности стола в зоне расположения документов в пределах от 300 до 500 лк.  Местное освещение устанавливается так, чтобы не создать бликов на поверхности электронного блока и увеличить освещение экрана более 300 лк. Прямая блескость от источников освещения ограничена, при этом яркость освещения поверхности (окна, светильники и др.), находящейся в поле зрения, составляет не более 200 кд/м2, что обеспечивается применением жалюзи на оконных проёмах, позволяющих ограничивать световой поток.

Для внутренней отделки интерьера помещений  с электронным блоком и стендом использовались диффузно-отражающие материалы с коэффициентом отражения:

- для потолка 0,7 – 0,8;

- для стен 0,5 – 0,6;

- для пола 0,3 – 0,5.

Освещенность на поверхности стола в зоне размещения рабочего документа составляет около 150 лк, что не удовлетворяет нормам СНиП 23-05-95. В качестве источников света при искусственном освещении применяются люминесцентные лампы типа ЛБ.

Площадь на одно рабочее место с электронным блоком и стендом для рабочих составляет не менее 6,0 м2, а объем не менее 20,0 м3, что удовлетворяет нормам.

Поверхность пола в помещениях эксплуатации с электронного блока и стенда ровная, без выбоин, нескользкая, удобная для очистки и влажной уборки, обладает антистатическими свойствами.

Разница (называемая отношением яркости) между рабочим местом и примыкающей площадью не превышает соотношение 3:1. Коэффициенты отношения поверхностей следующие:

- для потолка 80 – 95 %;

- для стен 50 – 60 %;

- для мебели и машин 25 – 45 %;

- для пола 25 – 45 %.

Освещенность помещения и рабочего места удовлетворяет требованиям п.9.39 (СП 2.2.2.1327-03) «Гигиенические требования к отдельным технологическим процессам и оборудыванию».

В помещении используются деревянные квадратные стулья, не удовлетворяющие требованиям.

В современных электронных блоках очень высокая плотность размещения элементов электронных схем. В непосредственной близости друг от друга располагаются соединительные провода, коммутационные кабели. При протекании по ним электрического тока выделяется значительное количество теплоты. При этом возможно оплавление изоляции, соединительных проводов, их оголение и, как следствие, короткое замыкание, которое может привести к воспламенению. Для ликвидации пожаров в начальной стадии и своевременной эвакуации людей в помещении предусмотрены следующие меры:

- наличие первичных средств тушения пожара (1 огнетушитель углекислотный (ОУ-5), защищаемая площадь 81 м2);

- наличие устройств пожарной автоматики (2 извещателя дымовых ИП-212);

- наличие плана эвакуации.

Цех имеет следующие характеристики:

- категория по взрывопожарной и пожарной опасности помещения по НПБ 105-95 – В3;

- класс взрывопожароопасности по ПУЭ – П-Па;

- степень огнестойкости здания II;

- тип вентиляции – естественная, искуственная.

Автомастерская оснащена средствами системы пожарной сигнализации: извещателями дымовыми ИП-212. Максимальное расстояние между дымовыми извещателями 3,5 м, от стены до извещателя – 2,5 м при высоте  свыше 3,5 до 6 м. Система  пожарной сигнализации рассчитана на круглосуточную работу. Шлейфы пожарной сигнализации выведены на концентратор на вахту без права отключения. Шлейфы пожарной сигнализации в защищаемых помещениях выполнены проводом ТРП-1х2х0,5 открыто по стенам и потолкам и проводом МГШВ-0,5 на тросе. Вертикальные спуски выполнены кабелем ТПП-10х2х0,5. Основное и резервное питание концентратора выполнено проводом АППВ-3х2,5 в металлорукаве.

Важным фактором нормального высокопроизводительного труда являются метеорологические условия в производственном помещении. При измерениях температуры, относительной влажности воздуха в помещениях, где установлены стенд, электронный блок, получились такие результаты. Температура в теплый период года колеблется от 21 до 25 °C, в холодный период года от 21 до 23 °C. Относительная влажность колеблется от 41 % до 55 % в холодный период и от 42 % до 62 % в теплый период года. Эти параметры температуры и влажности удовлетворяют нормам СП 2.2.2.1327-03  «Гигиенические требования к организации технологических процессов, производственному оборудованию и рабочему инструменту» и находятся в допустимых пределах.

Помещение цеха не граничит с помещениями, имеющими повышенные уровни воздушного и ударного шума.

По данным отечественных исследователей, при работе со стендом для регулировки ТНВД могут образовываться колебания стенда с частотой от 10 до 1000 Гц. Но наиболее высокая их напряженность фиксируется при работа стенда на максимальной частоте вражения топливного вала.

 В районе электронного блока фиксируются импульсные поля сверхнизкой (50-100 Гц) и низкой (15-53 кГц) частот. Так, напряженность, низкочастотных полей может колебаться от единиц до десятков А/м.

Напряженность электростатического поля может колебаться от единиц до десятков кВ/м, приводя к изменению ионизации воздуха в помещениях. Ионизирующая радиация, вероятно, не играет существенной биологической роли, в частности, мягкое рентгеновское излучение по величине не выходит за пределы радиационного фона помещений.

Допустимые значения параметров неионизирующих электромагнитных излучений приведены ниже СанПиН (2.2.2./2.4.1340-03):

- напряженность электромагнитного поля по электрической составляющей на расстоянии 50 см - 10 В/м;

- напряженность электромагнитного поля по магнитной составляющей на расстоянии 50 см - 0,3 А/м;

- напряженность электростатического поля не должна превышать для рабочих - 20 кВ/м;

 

 

 

 

 

 

Мероприятия по улучшению условий труда

Неудобное сидячее положение, дискомфорт от работы со стендом блоком электроники можно уменьшить следующими методами:­ 

  • приспособлением расстояния между глазами и дисплеем и расположения дисплея к конкретному работнику;
  • регулировкой общего освещения на рабочем месте до достижения нужного его качества или обеспечением индивидуального освещения на рабочих местах;
  • таким изменением работы, которое обеспечило бы возможность отдыха после продолжительных операций, создающих нагрузку на глаза и отдельные группы мышц;
  • обеспечением таких условий, в которых работники могли бы отдыхать в отдельном помещении с тем, чтобы снять усталость;

Для поддержания температуры воздуха в пределах 21-24 0C в теплый период рекомендуется установить кондиционеры. Для холодного периода для поддержания температуры не ниже 21 0C установить требуемые отопительные системы.

Для повышения влажности воздуха, если это необходимо, в помещениях со стендом и блоком электроники применяются увлажнители воздуха, заправляемые ежедневно дистиллированной или прокипяченной питьевой водой.

Для уменьшения содержащихся в воздухе вредных примесей необходимо, чтобы имелась хорошая вентиляция, обеспечивающая постоянную циркуляцию свежего воздуха.

Для предупреждения развития переутомления обязательными условиями являются:

  • проведение во время перерыва проветривания помещения цеха (желательно сквозное с обязательным выходом из помещения рабочих);
  • осуществление во время перерыва физкультурной паузы в течение 3 - 4 минут;
  • для снятия локального утомления рабочих следует обеспечить проведение физкультминуток (в течение 1 - 2 минут), которые могут выполняться индивидуально в зависимости от появления усталости;
  • через каждые 20 - 25 минут работы на стенде и с блоком электроники осуществлять упражнения для глаз.

Для снижения  пыли в помещениии предусмотрены следующие рекомендации:

  • ежедневно перед началом рабочего процесса в помещение цеха следует проводить влажную уборку и проветривание помещения.

 

Для организации работ в помещении цеха следует руководствоваться «Методическими указаниями по нормированию и борьбе с загрязнением окружающей атмосферы на предприятиях Минавтотранса РФ» (МУ-200-РФ-13-0095-79). Для соблюдения требований ГОСТ 17.2.2.03-77 и ГОСТ 21393-75 следует руководствоваться «Инструкцией по проверке, регулировке и ремонту топливной аппаратуры с целью обеспечения минимального содержания окиси углерода в отработавших газах двигателей автомобилей» (РТП-200-РФ-12-0092-79. Изд-во ЦБНТИ, М.,1980г.) и «Инструкцией по проверке, регулировке и ремонту топливной аппаратуры с целью обеспечения минимальной  дымности.

 

 

 

6.2 Расчет искусственного освещения

 

 

Рациональное освещение рабочего места является одним из важнейших факторов, влияющих на эффективность трудовой деятельности человека, предупреждающих травматизм и профессиональные заболевания. Правильно организованное освещение создает благоприятные условия труда, повышает работоспособность и производительность труда. Освещение на рабочем месте механика должно быть таким, чтобы работник мог без напряжения зрения выполнять свою работу. Утомляемость органов зрения зависит от ряда причин:

- недостаточность освещенности;

- чрезмерная освещенность;

- неправильное направление света.

Недостаточность освещения приводит к напряжению зрения, ослабляет внимание, приводит к наступлению преждевременной утомленности. Чрезмерно яркое освещение вызывает ослепление, раздражение и резь в глазах. Неправильное направление света на рабочем месте может создавать резкие тени, блики, дезориентировать работающего. Все эти причины могут привести к несчастному случаю или профзаболеваниям, поэтому столь важен правильный расчет освещенности.

Расчет освещенности рабочего места сводится к выбору системы освещения, определению необходимого числа светильников, их типа и размещения. Процесс работы механика осуществляется в таких условиях, когда естественное освещение недостаточно или отсутствует. Исходя из этого, рассчитаем параметры искусственного освещения.

Искусственное освещение выполняется посредством электрических источников света двух видов: ламп накаливания и люминесцентных ламп. Будем использовать люминесцентные лампы, которые по сравнению с лампами накаливания имеют существенные преимущества:

- по спектральному составу света они близки к дневному, естественному освещению;

- обладают более высоким КПД (в 1.5-2 раза выше, чем КПД ламп накаливания);

- обладают повышенной светоотдачей (в 3-4 раза выше, чем у ламп накаливания);

- имеют более длительный срок службы.

Расчет освещения производится для помещения автосервиса площадью 81 м2 , ширина которой 9 м, длина 9 м, высота 4,1 м и высота рабочей поверхности 0,75 м. Число светильников в комнате равно 12, а в каждом светильнике по две лампы. Схема расположения светильников  в автосервисе указана на рисунке 6.1.

Воспользуемся методом коэффициента использования светового потока, учитывающим световой поток, отраженный от потолка и стен. Световой поток лампы рассчитывается по формуле:

 

,                                                                                 (6.1)         

где        Ф - рассчитываемый световой поток, лм;

        ЕН - нормированная минимальная освещенность, лк.

 

 

Рисунок 6.1 – Схема расположения светильников в помещении

 

S - площадь освещаемого помещения, м2;

z - коэффициент неравномерности освещения;

kЗ - коэффициент запаса, учитывающий уменьшение светового потока лампы в результате загрязнения светильников в процессе эксплуатации;

N - число светильников, шт.;

n - число ламп в светильнике, шт.;

η - коэффициент использования светового потока.

Коэффициент неравномерности освещения z зависит от светораспределения светильников и их расположения в пространстве. Он учитывает, что в реальных условиях неизбежна некоторая неравномерность освещения поверхности. При расположении светильников, близком к наивыгоднейшему, его можно принять z=1,2.

Коэффициент запаса kЗ учитывает снижение освещенности из-за загрязнения и старения лампы. Так как будут использованы люминесцентные лампы в помещениях при запыленности менее 5 мг/м3, kз=1,5.

Для определения коэффициента использования светового потока η находится индекс помещения i и предположительно оцениваются коэффициенты отражения поверхностей помещения: потолка - ρn, стен – ρc, стола – ρр. Для данного помещения коэффициенты соответственно равны 50 %, 30 % и 10 %.

Индекс помещения находится по формуле:

,                                                                             (6.2)

 

где       Нр - расчетная высота подвеса светильника, м;

             А - ширина помещения, м;

              В - длина помещения, м.

Подставив значения, получим:

 

.

 

Зная индекс помещения i, ρn и ρc, по таблице находим η=36 %. Подставим все значения в формулу для определения светового потока:

 

(лн).

 

 Тип люминесцентных ламп выбираем среди следующих вариантов согласно ГОСТ 6825-91 «Лампы люминесцентные трубчатые для общего освещения»:

- лампа ЛБ-40, световой поток 2800 лм;

- лампа ЛБ-65, световой поток 4600 лм;

- лампа ЛБ-80, световой поток 5200 лм.

По рассчитанному значению Ф выбираем лампу типа ЛБ, мощностью 80 Вт.

Согласно проведенных расчетов для обеспечения на рабочем месте нормативной освещенности необходимо использовать люминесцентные лампы типа ЛБ мощностью 80 Вт.

 

 

6.3 Возможные чрезвычайные ситуации

 

 

В процессе эксплуатации разработанного устройства существует опасность возникновения чрезвычайных ситуаций. Чрезвычайная ситуация – состояние, при котором в результате возникновения источника чрезвычайной ситуации на объекте, определенной территории или акватории нарушается нормальные условия жизни и деятельности людей, возникает угроза их жизни и здоровью, наносится ущерб имуществу населения, народному хозяйству и окружающей среде.

Источник чрезвычайной ситуации – опасное природное явление, авария или опасное техногенное происшествие, широко распространенная инфекционная болезнь людей, сельскохозяйственных животных и растений, а также применение современных средств поражения, в результате чего произошла или может возникнуть чрезвычайная ситуация.

В непосредственной близости от производственного помещения возможна чрезвычайная ситуация в результате выброса аварийно химически опасного вещества (АХОВ) при аварии автомобиля с цистерной,  перевозящего 5 тонн аммиака.

Аммиак относится к аварийно химически опасным веществам. Его характеристика приведена в таблице 6.2.

 

Таблица 6.2 - Характеристика аммиака

-                 Ядовитое

-                 вещество

-                  

-                  

-                 Темпера-

-                 тура ки-

-                 пения, ºС

-                  

-                 ПДК,

-                 мг/л

-                 Смертель-

-                 ная кон-

-                 центра-

-                 ция,

-                 мг/л

-                 Экспо-

-                 зиция,

-                 мин

-                  

-                 Дегазирующие

-                 вещества

-                  

-                 Аммиак

-                 -33,4

-                 0,02

-                 7

-                 30

-                 Вода

 

В зависимости от концентрации аммиака в воздухе и времени пребывания в зараженной атмосфере без средств защиты человек может быть временно выведен из строя или получить смертельную дозу.

На предприятии  разработаны мероприятия по оповещению о возможной химической опасности на территории производственной зоны и на смежных территориях, с этой целью установлены сигнализаторы утечки и аварийной концентрации паров аммиака.

К ликвидации аварии в первую очередь привлекается личный состав штатной газоспасательной службы,  если она имеется. Если этих сил оказывается недостаточно или на предприятии нет такой службы, то к ликвидации аварии подключаются городские  службы и специальные подразделения. Во всех случаях обязательно участие медицинских формирований. Предусматривают санитарную обработку работающих, а также дегазацию средств защиты и техники. Работчих обеспечивают защитной одеждой и противогазами. В летнее время аммиак быстрее испаряется, что повышает его концентрацию в месте аварии. Чем сильнее ветер, тем быстрее заражаются смежные территории, но ядовитое облако быстро рассеивается.

Аммиак тяжелее воздуха. Для защиты территории при растекании аммиака, на пути движения облака являются эффективными водяные завесы. При аварии важно защитить помещения, где работают люди, от проникновения в них вредной газовоздушной смеси. С этой целью в помещениях отключают вентиляцию, закрывают окна, двери.

Эвакуация сотрудников предприятия в случае возникновения чрезвычайной ситуации производится в соответствии с планом эвакуации. Процесс движения людей в силу угрожающей им опасности инстинктивно начинается одновременно в одном направлении - в сторону выходов. Это приводит к тому, что проходы быстро заполняются людьми определенной плотности потоков.

Предположим, что произошёл выброс в атмосферу 5 тонн аммиака на проезжей части, расположенной в 5 км от помещения автосервиса. Скорость ветра 4 м/с в условиях инверсии. Проведем расчет размеров и площади зоны заражения, а так же времени подхода зараженного облака к автосервису.

6.3.1 Под зоной химического заражения понимают территорию, в пределах которой в результате воздействия АХОВ возможно поражение людей, сельскохозяйственных животных и растений. Она включает территорию непосредственного разлива АХОВ и территорию, над которой распространилось облако зараженного воздуха с поражающими концентрациями.

Размеры зоны химического заражения характеризуются глубиной и шириной распространения облака зараженного воздуха с поражающими концентрациями и площадью разлива. Внутри зоны могут быть районы со смертельными концентрациями.

Определим глубину зоны заражения из таблицы «Глубина распространения облаков зараженного воздуха с поражающими концентрациями АХОВ на открытой местности».

Выбираем наихудший случай, соответствующий наиболее максимальному распространению АХОВ. Этот случай соответствует степени вертикальной устойчивости воздуха – инверсии. При инверсии глубина составляет 3,5 км. Так как скорость ветра больше 1 м/с, определяем поправочный коэффициент по таблице влияния скорости ветра на глубину распространения зараженного воздуха. При скорости ветра 3 м/с этот коэффициент будет равен 0,38. Отсюда глубина распространения зараженного облака равна:

  • (км).

 

Определим ширину зоны химического заражения.

Ширина (Ш) зоны химического заражения зависит от степени вертикальной устойчивости воздуха и определяется для инверсии как:

 
   

 

 

 

где    Г – глубина распространения облака зараженного воздуха с поражающей концентрацией в км.

 

 (км).

 

Площадь зоны заражения определяется по формуле:

 

 (км2).

 

6.3.2 Расстояние от места разлива АХОВ до объекта составляет около 5 км. По таблице для инверсии и скорости ветра 4 м/с определим среднюю скорость переноса облака зараженного веществом V, в данном случае она составляет 21 м/с.

Время подхода облака t к заданному объекту зависит от скорости переноса облака воздушными потоками и определяется по формуле (6.3):

 

 

                                                                                                           (6.3)

 

 

Подставив значения в формулу, находим:

 

(сек).

 

Теперь необходимо оценить время эвакуации людей из здания автосервиса.

План эвакуации людей представлен на рисунке 6.2.

 

 

Рисунок 6.2 – План эвакуации людей из помещения цеха

 

Условные обозначения рисунка 6.2 приведены в таблице 6.3.

 

Таблица 6.3 – Условные обозначения

 

Наименование

 

Обозначение

Участки пути эвакуации

1-3

Огенетушитель углекислотный(ОУ-5)

4

Цех

5

 

6.3.3 Расчетное время эвакуации людей из помещений и зданий устанавливается по расчету времени движения одного или нескольких людских потоков. Расчет ведется в соответствии с ГОСТ  12.1.004-91. При расчете путь движения людского потока делится на участки длинной li и шириной bi.

Расчетное время эвакуации людей Т, мин определяется по формуле:

,                                                                                                 (6.4)           

где ti – время движения людского потока на i-м участке, мин;

        n – количество участков эвакуации.

Плотность людского потока ,  на i-м участке пути определяется по формуле:

 

,                                                                                           (6.5)

 

где Ni  – число людей на i-м участке;

         f – средняя площадь горизонтальной проекции человека, м2 (f=0,125 м2);

      li – длина i-го участка, м;

      bi – ширина i-го участка, м.

Время движения на участке пути ti , мин следует определять по  формуле:

 

ti=li/vi    ,                                                                                          (6.6)                                     

где vi – значение скорости движения людского потока на участке в зависимости от Di.

По формуле (6.5) и таблице 6.4 определяем плотность, скорость и интенсивность людского потока.

 

Таблица 6.4 – Значения скорости движения людского потока на участке в зависимости от плотности потока

Плотность потока, D,

Горизонтальный путь

Дверной проем

Скорость, v, м/мин

Интенсив-ность, q, чел./мин

Интенсив-ность, q, чел./мин

1

2

3

4

0,01

100

1

1

0,05

100

5

5

0,1

80

8

8,7

0,2

60

12

13,4

0,3

47

14,1

16,5

0,4

40

16

18,4

0.6

27

16.2

19

0,7

23

16,l

18,5

0,8

19

15,2

17,3

0,9 и более

15

13,5

8,5

 

Результаты расчета времени эвакуации людей сведены в таблицу 6.5.

 

 

 

 

 

Таблица 6.5 – Результаты расчета времени эвакуации людей

Участок

Длина, li, м

Ширина, bi, м

Число людей, Ni

Плотность потока, Di,

Интенсивность, qi, чел./мин

Скорость, vi, м./мин

Время, ti, мин

1

10

9

4

0,0055

1

100

0,1

2

22

3

15

0,0284

12

47

0,468

3

0,35

1,5

17

3,696

8,5

15

0,0233

 

Расчетное время эвакуации людей:

 

Т = 0,1 + 0,468 + 0,0233 = 0,5913 мин.

Таким образом, расчетное время эвакуации из помещения составляет  0,5913 мин или 35,48 с. Расчетное время не превышает допустимого, равного 50секунд.

 

 

 

7 Технико-экономическое обоснование проекта

 

 

В данном дипломном проекте рассматривается электронный блок, предназначенный для сбора, обработки и регистрации информации о параметрах топливной системы дизельного двигателя.

Объектом проектирования данного дипломного проекта является электронный блок. На стадии разработки рассчитываются затраты и себестоимость проекта при изготовлении устройства. На стадии эксплуатации рассчитываются капитальные вложения и затраты потребителя на приобретение комплекта системы. Также рассчитывается годовой экономический эффект.

Исходные данные для расчётов приведены в таблицах 7.1 и 7.5.

 

7.1 Расчёт себестоимости проекта

 

 

Расчет себестоимости включает в себя расчет необходимых затрат, связанных с созданием устройства. Калькуляция включает в себя следующие статьи затрат:

- затраты на материалы;

- затраты на покупные изделия;

- затраты на заработную плату разработчика;

- отчисления на социальные нужды;

- затраты на использование электронной техники;

- затраты на потребление электроэнергии оборудованием для пайки.

 

 

 

 

 

 

           Таблица 7.1 – Исходные данные для расчёта себестоимости

п/п

Наименование показателя

Обоз-
начение

Единицы
измерения

Значение

1

Транспортно-заготовительные расходы

Ктр

%

7

2

Месячная заработная плата разработчика

Зр мес

руб

2500

3

Количество рабочих дней в месяце

Др м

21

 

 

 

 

 

Продолжение таблицы 7.1

4

Районный коэффициент

Кр

%

15

5

Процент премии

Кпр

%

30

6

Дополнительная заработная плата

Кз доп

%

10

7

Отчисления на социальные нужды

Ксн

%

26,6

8

Норма амортизации

Кам

%

20

9

Норматив накладных расходов

Кнр

%

15

10

Коэффициент, учитывающий затраты на транспортировку оборудования

 

%

10

11

Коэффициент, учитывающий затраты на установку и монтаж оборудования

 

%

4

12

Количество рабочих дней в году

Др г

250

13

Длительность рабочего дня

Трд

Ч

8

14

Процент потери времени на ремонтно-профилактические работы

α

%

6

15

Стоимость принтера

Цпрн

Руб.

3500

16

Стоимость ЭВМ

Цэвм

Руб.

12000

17

Потребляемая мощность ЭВМ

Pэвм

кВт

0,35

18

Потребляемая мощность принтера

Pприн

кВт

0,2

19

Оклад инженера – электронщика

Цпрн

руб./мес

2700

20

Стоимость 1 кВт-ч электроэнергии

Цэл

Руб.

2,3

 

 

7.1.1 Затраты на материалы определяются по формуле:

  • ,                                (7.1)
  • где n– количество наименований материалов;
  •   Нмi – норма расхода i-го материала на единицу продукции, ед.;
  •   Цмi – цена за единицу i-го материала, руб./ед.;
  •   Ктр – процент транспортно-заготовительных расходов.

Результат расчёта сведём в таблицу 7.2.

 

-                   Таблица 7.2 – Затраты на материалы

-                 Наименование материала

-                 Единицы
измерения

-                 Цена,
руб.

-                 Коли-
чество

-                 Сумма,
руб.

-                 Текстолит фольгированный

-                  кв.м

-                 920

0,016

14,72

-                 Раствор для травления

-                 Кг

-                 160

-                 0,15

-                 24

-                 Припой

-                 Кг

-                 520

-                 0,07

-                 36,4

-                 Канифоль

-                 Кг

-                 250

-                 0,02

-                 5

-                 Бумага

-                 упаковка

-                 120

-                 1

-                 120

-                 Чернила для принтера

-                 упаковка

-                 150

-                 1

-                 150

-                 Дискеты

-                 шт.

-                 8

-                 2

-                 16

-                 Всего

-                 360,12

  • (р.).

 

 

7.1.2 Материалы и комплектующие изделия приобретались в магазине «Радиокомп».

Затраты на покупные изделия определяются по формуле:

  • ,                    (7.2)
  • где: n– количество наименований комплектующих изделий;
  •    Нпиi – норма расхода i–го комплектующего на единицу продукции, ед.;
  •   Цпиi – стоимость за единицу i–го комплектующего, руб./ед.;
  •   Ктр – процент транспортно-заготовительных расходов.

Подставив числовые значения в формулу (7.2) результаты сведём в таблицу 7.3.

 

      

 

 

 

 

 

 

Таблица 7.3 – Затраты на покупные изделия

Наименование товара

Тип

Цена

Количество

Сумма

Резисторы

ОМЛТ-0,125

470Ом ± 5%

0,5

3

1,5

ОМЛТ-0,125

1кОм ± 5%

0,5

5

2

 

ОМЛТ-0,125

470кОм ± 5%

0,5

1

0,5

ОМЛТ-0,125

2кОм ± 5%

0,5

6

2,5

Конденсаторы

КМ-4-15пФ

±10%

1

2

2

КМ-4-62пФ

±10%

1

2

2

К50-6-

16´200мкФ±20%

1,5

1

1,5

К50-6-

16´2000мкФ±20%

2

1

2

 

К50-6-

10´10мкФ±20%

1

1

1

КМ-4-0,1мкФ

±10%

1

2

2

Диоды

КД510

3,5

1

3,5

 

КД102

2,5

5

12,5

 

10R60TD-D-R

5

1

5

Транзисторы

КТ815Г

15

1

15

КТ315

8

1

8

Микросхемы

PIC16F877

292

1

292

 

MC14489BP

176

1

176

 

142EH5

21

1

21

Реле

 

50

1

50

Оптопара

        АОД101А

55

1

55

Кварцевый резонатор

VH HC-49/U

32

1

32

Диодный индикатор

DC56-11YWA

42

2

84

Корпус

 

50

1

50

Итого

821

                  

                                         

            (р.).

 

 

7.1.3 Заработная плата разработчика рассчитывается по формуле:

  • ,           (7.3)
  • где Сз осн – основная заработная плата разработчика, руб.;
  • Сз доп – дополнительная заработная плата разработчика, руб..

Основная заработная плата рассчитывается по формуле:

  • ,           (7.4)
  • где Тр – длительность разработки, дней;
  • Др м – количество рабочих дней в месяце;
  • Зр мес – месячная заработная плата разработчика, руб.;
  • Кпр – процент премии, %;
  • Кр – районный коэффициент, %.

Данные о продолжительности каждого этапа разработки приведены в таблице 7.4.

 

 

 

Таблица 7.4 – Продолжительность этапов разработки

Наименование этапа

Длительность, дней

Получение технического задания

1

Анализ предметной области

6

Разработка устройства

10

Проектирование печатной платы

3

Разработка алгоритмов работы

программного обеспечения

3

Написание программы

2

 

Продолжение таблицы 7.4

 

Тестирование, отладка, устранение ошибок программного обеспечения

8

Создание печатной платы

2

Пайка платы

5

-                                                                                                                                                                                                                                                                                                                               Отладка устройства

-                                                                                                                                                                                                                                                                                                                               2

-                                                                                                                                                                                                                                                                                                                                Всего

-                                                                                                                                                                                                                                                                                                                               42

(р.).

Дополнительная заработная плата:

  • ,           (7.5)
  • где Кз доп – процент дополнительной заработной платы, %.

      (р.);

  • (р.).

 

Отчисления на социальные нужды (в пенсионный фонд, в фонд социального страхования, в фонд медицинского страхования, на социальное страхование от несчастных случаев на производстве и профессиональных заболеваний):

  • ,           (7.6)
  • где Ксн – норматив отчислений на социальные нужды, %.

     (р.).

 

 

 

 

7.1.4 Затраты на использование i-го вида оборудования вычисляются по формуле:

  • ,                           (7.7)
  • где Тп i – полезный фонд времени работы i-го вида оборудования, ч;
  •     – стоимость одного машино-часа i-го вида оборудования, руб.

В свою очередь стоимость одного машино-часа устройства вычисляется по формуле:

  • ,                                                   (7.8)
  • где  – стоимость устройства, руб.;
  • Фоб – действительный годовой фонд времени работы оборудования, ч.
  • ,                                    (7.9)
  • где: Дрг– количество рабочих дней в году;
  • Трд – длительность рабочего дня, ч;
  •  - количество смен;
  •    α – коэффициент перерывов, %.

Действительный годовой фонд времени работы оборудования:

  • (ч.).
  • ,                 (7.10)
  • где: - затраты на зарплату обслуживающего персонала, руб.;
  •     - затраты на амортизацию, руб.;
  •     - затраты на потребление электроэнергии за год, руб.;
  •     - затраты на текущий ремонт, руб.

Затраты на зарплату обслуживающего персонала рассчитывается по формуле:

  • ,

 

где:  - месячный оклад обслуживающего персонала, руб.;

        - численность обслуживающего персонала i-ой категории;        

          Nм  - количество машин в компьютерном зале;

 

     (р.).

 

Затраты на амортизацию оборудования вычисляются по формуле:

 

  • ,                   (7.11)

 

где   Сбал – балансовая стоимость ПК;

         Нам – норма амортизации.

  •                                                                (7.12)

 

где:   Ц – цена ПК;

        –процент учитывающий затраты на транспортировку оборудования;

         – процент учитывающий затраты на установку и монтаж.

Балансовая стоимость компьютера:

(р.).

Норма амортизации ЭВМ вычисляется по формуле:

  • ,                  (7.13)

 

где    - срок службы ЭВМ.

Норма амортизации ЭВМ:

  • .

 

Затраты на амортизацию ЭВМ:

  • (р.).

 

Затраты на потребление электрической энергии ЭВМ вычисляются по формуле:

  • ,             (7.14)

 

где   РЭВМ – мощность потребляемая ПК (КВт/ч);

        ЦЭЛ – тариф за электроэнергию, руб.;

        КИМ – коэффициент использования по мощности.

 

      (р.).

 

Затраты на текущий ремонт:

  • ,             (7.15)
  • где Кт.р.– коэффициент затрат на текущий ремонт.

 

(р.).

 

Затраты на эксплуатацию ЭВМ в течение года:

(р.);

(р./ч).

Балансовая стоимость принтера:

(р.).

Норма амортизации принтера вычисляется по формуле:

  • ,                                       (7.16)

где - срок службы принтера.

Норма амортизации принтера:

  • .

 

Затраты на амортизацию принтера:

 

(р.).

 

Затраты на потребление электрической энергии принтером вычисляются по формуле:

  • ,              (7.17)

 

где     Р  – мощность потребляемая принтером (КВт/ч);

          ЦЭЛ – тариф за электроэнергию, руб.;

           KИМ – коэффициент использования по мощности.

(р.).

 

Затраты на текущий ремонт:

  • ,              (7.18)

 

где  Кт.р. – коэффициент затрат на текущий ремонт.

      (р.).

 

Затраты на эксплуатацию принтера в течение года:

     (р.);

 

(р./ч).

 

Затраты, связанные с использованием электронной техники составят:

  •                                                                                                           (7.19)

 

Фактический фонд времени работы ЭВМ на этапах: анализа предметной области составил 56 ч.; разработки устройства – 32 ч.; создание печатной платы – 40 ч. Итого: 128 ч.

Фактический фонд времени работы принтера на этапе производства печатной платы – 3 ч.

Фактический фонд времени работы оборудования для пайки на этапе монтажа радиоэлементов на печатную плату – 5 ч.

 

  • (р.).

 

Затраты на потребление электрической энергии оборудованием для пайки вычисляются по формуле:

  • ,                                  (7.20)

 

где  Р пайка – мощность потребляемая оборудованием для пайки (кВт/ч);

       Цэл – тариф за электроэнергию, руб.;

       Фпайка – фактический фонд времени работы оборудования для пайки.

(р./ч).

 

Накладные расходы рассчитываются по формуле:

  • ,                                                       (7.21)
  • где Кнр– норматив накладных расходов, %.

(р.).

 

 

 

7.1.5 Полная себестоимость складывается из всех затрат на производство конечного продукта:

  • ,         (7.22)

 

  • где См – затраты на материалы, руб.;
  • Спи – затраты на покупные изделия, руб.;
  • Сз – заработная плата разработчика, руб.;
  • Ссн – отчисления на социальные нужды, руб.;
  •  Стех – затраты на использование электронной техники, руб.;
  • Сэл – затраты на электроэнергию оборудования для пайки, руб.;
  •  Снр – накладные расходы, руб.

(р.).

 

Расчетная цена предполагаемого разработанного устройства определяется по формуле:

 

,                                                                               (7.23)

 

где  – прибыль на единицу продукции, р./шт.

 

,                                                                                   (7.24)

 

где  – норматив рентабельности, % (20 %).

 

(уб./шт.).

 

Тогда расчетная цена будет равна:

 

(р.).

 

Отпускная цена предлагаемого разработанного устройства составит:

 

,                                                                      (7.25)

где  – ставка налога на добавленную стоимость, % (18 %)

 

(р.).

 

Отпускная цена существующего аналога составляет порядка 42000 рублей.

 

 

7.2 Расчёт затрат на эксплуатацию

 

 

-             Таблица 7.5 – Исходные данные для расчёта

-                 №

-                 п/п

-                 Наименование показателя

-                 Обоз-
начение

-                 Единицы
измерения

-                 Значение

-                     1

-                 Месячная заработная плата рабочего

-                  Зл мес

-                 руб.

-                 7000

-                     2

-                 Количество рабочих дней в месяце

-                 Др м

-                 —

-                 21

-                     3

-                 Длительность смены рабочего

-                  Тсм

-                 ч

-                 8

-                     4

-                 Время, затрачиваемое на обслуживание

-                  Тобс

-                 ч

-                 1

-                     5

-                 Дополнительная заработная плата

-                 Кз доп

-                 %

-                 10

-                     6

-                 Отчисления на социальные нужды

-                 Ксн

-                 %

-                 26,6

-                     7

-                 Норма амортизации

-                 Кам

-                 %

-                 20

-                     8

-                 Норматив затрат на ремонт

-                  Крем

-                 %

-                 3,5

-                     9

-                 Потребляемая мощность устройства

-                 Мпотр

-                 кВт

-                 0,008

-                     10

-                 Действительный фонд времени работы устройства

-                  Ту

-                 ч

-                 3800

-                     11

-                 Стоимость 1 кВт-ч электроэнергии

-                  Цэл

-                 руб.

-                 2,30

-                     12

-                 Нормативный коэффициент эффективности капитальных вложений

-                  Ен

-                 —

-                 0,15

 

 

7.2.1 На стадии эксплуатации для приобретения комплекта системы, потребителю необходимы капитальные вложения. В состав которых входят:

- себестоимость проекта устройства сбора и обработки информации;

  - сопутствующие капиталовложения на его доставку, установку, пусконаладочные работы и стоимость дополнительных устройств, если такие необходимы.

 

,                                                                       (7.27)

 

 

где:    - цена устройства без НДС, р./шт.;

          – затраты на доставку, р./шт. (принимаются в размере 2 % от цены);

     – затраты на установку и монтаж, р. (принимаются в размере 5 % от цены);

 

            (р.);

                 (р.).

7.2.2 Расчёт годовых эксплуатационных издержек потребителя производится путём прямого счёта по формуле:

  • И = Иобс + Иэл + Ирем ,                            (7.28)
  • где: Иобс– заработная плата обслуживающего персонала, руб.;
  • Иэл – издержки на электроэнергию, руб.;
  • Ирем – издержки на ремонт, руб.

Зарплата обслуживающего персонала  рассчитывается по формуле:

  •                                                                                            ,                         (7.29)
  • где: Зл мес– месячная заработная плата рабочего, руб.;
  •  Тсм – длительность смены рабочего, ч;
  •  Др м – количество рабочих дней в месяце;
  •  Тобс – время, затрачиваемое на обслуживание, ч;
  •  Кз доп – процент дополнительной заработной платы;
  • Ксн – норматив отчислений на социальные нужды.

Затраты на заработную плату рабочего составят:

  • (р.).

 

Издержки на электроэнергию определяются, исходя из номинальной мощности устройства и действительного фонда времени его работы:

 

    (р.);

    (р.).

 

Издержки на ремонт:

  • ,                                                         (7.30)

 

  • где – норматив затрат на текущий ремонт (запчасти), %

(р.);

(р.).

 

Общие годовые эксплуатационные издержки потребителя составят:

     (р.);

     (р.).

 

 

7.2.3 Годовые приведённые затраты потребителя рассчитываются по формуле:

  • З = И + Ен К, (7.31)
  • где: И – годовые эксплуатационные издержки потребителя, руб.;
  • Ен – нормативный коэффициент эффективности капитальных вложений;
  • К – капиталовложения потребителя, руб.

          (р.);

       (р.).

 

 

 

 

 

7.3 Расчет годового экономического эффекта

 

 

Для проведения сравнительных вариантов техники к сопоставимому виду необходимо определить коэффициент эквивалентности, который определяется следующим образом:

 

,                                                                                         (7.34)

 

где  – коэффициент приведения по производительности, который определяется так:

 

            ,                                                                                   (7.35)

 

где:  годовой объём работ при использовании единицы нового или базового изделия;

           – часовая выработка (производительность) единицы нового или базового изделия, ед./ч;

           – действительный годовой фонд времени единицы нового или базового устройства, ч;

 

 

   – коэффициент приведения по долговечности (сроку службы).

 

   ,                                                                                               (7.36)

 

где  – срок службы базового или нового устройства, согласно технической документации, лет;

 

  

 

 

Годовая экономия эксплутационных издержек составит:

 

               ,                                                                               (7.37)

      (р./год).

Годовой экономический эффект от внедрения нового устройства на стадии эксплуатации определяют по формуле:

 

  ,                                                                (7.38)

  (р.).

 

 

 

 

7.4 Результаты расчётов

 

 

Результаты произведённых расчётов сведём в таблицу экономических показателей проекта (таблица 7.6).

Результаты расчётов показали, что себестоимость проекта устройства сбора информации составляет 15202,3 рублей, а затраты на эксплуатацию системы равны 3760,84 рублей в год.

 

-      Таблица 7.6 – Экономические показатели проекта

-                 Показатель

-                 Сумма, руб.

-                                              Затраты на стадии разработки

-                 Затраты на материалы

-                 385,33

-                 Затраты на покупные изделия

-                 878,5

-                 Заработная плата разработчика

-                 8222,5

-                 Отчисления на социальные нужды

-                 2187,2

-                 Затраты на использование электронной техники

-                 518,31

-                 Затраты на электроэнергию

-                 1,2

-                 Накладные расходы

-                 3009,4

-                 Себестоимость проекта

-                 15202,3

-                 Затраты на стадии эксплуатации

-                 Капитальные вложения потребителя

-                 19519,75

-                 Заработная плата обслуживающего персонала

-                 86,75

-                 Издержки на электроэнергию

-                 62,93

-                 Издержки на ремонт

-                 683,2

-                 Текущие годовые издержки потребителя

-                 832,88

-                 Годовые приведённые затраты потребителя

-                 3760,84

 

 

Вывод:

 

   

 

Заключение

 

 

В ходе данного дипломного проектирования был сконструирован измерителый блок параметров топливной системы дизельноо двигателя. Произведены расчеты экономической эффективности разработонного прибора, а также безопасность труда при использовании данного прибора.

 

 

 

 

 

Список использованных источников

 

 

3 Рахматуллин, Р.Р., Тарасов, В.Н., Коваленко, О.В. Методические указания по дипломному и курсовому проектированию

4 Ефремов, И.В., Янчук, Е.Л., Быкова, Л.А. Расчет естественного и искусственного освещения [Текст]: Методические указания к практическим занятиям.

6 Шило, В.Л. Популярные цифровые микросхемы [Текст]: Справочник. –

Москва: Радио и связь, 1987. – 352 с.

8 MPLAB IDE [Электронный ресурс]: User’s Guide DS51519B.– Microchip, 2006.  – 277 p. – Режим доступа: WWW.URL: http: // www.microchip.com/. – 27.06.2008.

9 MPASM [Электронный ресурс]: руководство пользователя. – М.: ООО «Микро-Чип», 2001. – 183 с. – Режим доступа: WWW.URL: http: // www.microchip.ru/. – 27.06.2008.

10 PIC16F87X [Электронный ресурс]: техническое описание. – М.: ООО «Микро-Чип», 2001. – 61 с. – Режим доступа : WWW.URL : http: // www.microchip.ru/. – 27.06.2008.

11 Am29LV800B [Электронный ресурс]: Data Sheet. – AMD, 2005. – Режим доступа : WWW.URL : http: // www.amd.com/. – 27.06.2008.

12 Тавернье, К. PIC-микроконтроллнры. Практика применения [Текст]: Пер. с фр. М.: ДМК Пресс, 2003.-272 с.:  ил. (Серия «Справочник»).

13 ГОСТ 8.585-2001 ЕСКД. Термопары. Номинальные статические характеристики преобразования. – Введ. 2002–07–01. – М. : Стандартинформ, 2006. – 84 с.

14 MС14489BP [Электронный ресурс]: Data Sheet. – TI, 2000. – Режим доступа: WWW.URL : http: // www.chip-dip.ru/. – 27.04.2009.

 

********************ПРИЛОЖЕНИЯ СКРЫТЫ ОТ ПУБЛИЧНОГО ПРОСМОТРА*********************

 

ЧЕРТЕЖИ

 

 

Измерительный блок параметров топливной системы дизельного двигателя

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

Ответ при защите ВКР

Уважаемые члены государственной аттестационной комиссии, вашему вниманию предоставляется доклад по результатам ВКР, выполненной на тему «Электронный блок (ЭБ) стенда для диагностики топливных насосов высокого давления». Техническое задание на дипломный проект было выдано предприятием ООО «Доктор Дизель», основной деятельностью которого является ремонт и регулировка ТНВД.

На данном предприятии применяется оборудование, которое регистрирует следующие параметры топливной системы:

- обороты вала топливного насоса;

- угол начала впрыска форсунки относительно верхней мертвой точки первой              секции топливного насоса;

- угол длительности впрыска;

- необходимое количество циклов впрыска топлива.

Так же в режиме измерения количества циклов ЭБ должен управлять реле клапана подачи топлива, расположенного на шасси стенда, параметры которого приведены на плакате ТЗ.

Данный ЭБ является устаревшим и не устраивает заказчика, т. к имеет достаточно большую погрешность измерения угла запаздывания и угла длительности впрыска – более 0.5°.

На плакате представлена структурная схема, системы, решающей перечисленные измерительные задачи. Улучшить её метрологические свойства по ТЗ необходимо модернизацией ЭБ. Представленные датчики, установленные на стенде, модернизации по ТЗ не требуют.

Уменьшить погрешность задание предлагается следующим образом. Ранее для измерения необходимых параметров использовался оптический диск с 720 мелкими рисками по периметру, и одной увеличенной меткой, расположенной чуть глубже. Оба вида рисок считывали два отдельных оптических датчика. По количеству рисок, насчитанных от импульса ВМТ, определяемого крупной риской, до сигнала с датчика впрыска определялся угол опережения впрыска. Таким образм, способ не мог обеспечить погрешность изм. угла менее 0.5°. В предлагаемом проекте измерение угла выполняется следующим образом:

  Цифровой центральный блок управления ЭБ в настоящее время возможно реализовать на микроконтроллере, в архитектуре которого присутствует таймер-счётчик, считающий импульсы опорной кварцованной частоты. Он позволит, по измерению количества импульсов между двумя импульсами от крупной метки получить информацию о периоде и частоте оборотов :

,                                                                                                                          (1.3)

где  Х - количество импульсов от автогенератора за один оборот,

        Т – период импульсов,

а также по количеству имульсов между импульсом ВМТ и сигнала с датчика впрыска определить измеряемый угол

                                                                                             (1.1)

       N– количество импульсов, созданных автогенератором за интервал времени между приходом импульса с датчика впрыска и импульса с датчика ВМТ.

 

Частоту импульсов тактирования мы можем задать порядка нескольких МГц (в проекте реализовано 5 МГц), что при наибольшей частоте оборотов – 3000 об/мин (или 500 Гц) даст 10° импульсов на оборот, соответственно дискретность измерения угла – 0.036°.

Также можем посчитать и длительность впрыска

 

       ,                                                                                                                  (1.2)

К– количество импульсов, созданных автогенератором за интервал времени, соответствующий длительности импульса, приходящего с датчика впрыска.

 

В качестве микроконтроллера решено было использовать PIC16F877 (показать на принципиалку), таймер которого реализацию указанных функций позволяет обеспечить. Также выводов и ресурсов контроллера хватает для индикации (принципиалка) измеряемых по ТЗ параметров, и опроса кнопок задания режима измерения. В принципе, указанные операции может выполнить целый ряд достаточно дешёвых МК, на предпочтение PIC-у вызвано тем, что исполнитель в процессе обучение ознакомился лучше именно с ним, а так же лучше знаком с отладочными средствами к МК, имеющимися на кафедре.

 С помощью кнопок на принципиалке выполняется выбор режима измерения одного из параметров, указанных в ТЗ, а также задание количества импульсов подачи топлива кнопками (+) и (Разряд) в режиме счёта импульсов. Операция счёта частоты оборотов выполняется в любом режиме (пожелание заказчика). Для индикации измеряемых параметров используются два блока индикации – на семисегментном индикаторе и LED-контроллере  MC14489BP, один из которых будет занят отображением оборотов вала ТНВД, в то время как второй блок индицирует один из трёх оставшихся параметров, выбранных оператором нажатием кнопки (Режим). При переключение режима загорается свето-диод соответствующий режиму.

 

 Блок питания для разрабатываемого устройства формирует напряжение питание для МК и блоков индикации, а также отдельные напряжения для оптического блока.

 

Скачать: diplom.rar

Категория: Дипломные работы / Дипломные работы по электронике

Уважаемый посетитель, Вы зашли на сайт как незарегистрированный пользователь.
Мы рекомендуем Вам зарегистрироваться либо войти на сайт под своим именем.