Устройство для сбора информации о номинальных статических характеристиках термопар.

0

 

ДИПЛОМНЫЙ ПРОЕКТ

Устройство для сбора информации о номинальных статических характеристиках термопар.

Аннотация

 

Пояснительная записка содержит 86 страниц, в том числе 20 рисунков, 8 таблиц, 6 приложений. Графическая часть выполнена на 7 листах формата A1.

В дипломном проекте разработана техническая документация на устройство для исследования номинальных статических характеристик термопар. Рассмотрены вопросы автоматизированного измерения номинальных статических характеристик известных типов термопар в рамках требований технического задания, вопросы связи устройства с ПЭВМ. Разработана электрическая принципиальная схема и чертежи печатных плат узлов устройства, выполнен  анализ погрешности канала измерения термо-ЭДС.

Диплом содержит расчет экономических показателей, рассмотрены вопросы безопасности труда.

 

Summary

 

The Explanatory note contains 86 pages, including 20 pictures, 8 tables, 6 exhibits. The Graphic part was run for 7 sheets of the format A1.

In it degree project  technical documentation is designed  for hardware of the  automatically controlled system for research of thermocouple unique sensor curve. The device is served to reseach of  examined thermopair unique sensor curve.

The Diploma contains the calculation of the economic factors and questions to safety of the labour.

 

Содержание

 

Введение…………………………………………………………………………. 6

1 Анализ ТЗ и обоснование базового варианта реализации……………..……8

2 Блоки структурной схемы.…………………………………………………..11

2.1 Блок  измерения и управления…………………………..………………..11

2.2 Блоки согласующего измерительного усилителя и коммутации термопар………………….……………………………………..................................16

2.3 Блок коммутации с сетью……..………………………..…………………16

3 Разработка схемы электрической принципиальной…………….………….17

3.1 Принципиальные схемы блоков…………………………………………..17

3.2 Оценка погрешностей измерения термоЭДС……………………………23

4 Разработка программного обеспечения…………………………..…………25

4.1 Разработка алгоритма программы управления……………………...……26

4.2 Разработка программы для управляющего микроконтроллера……...….28

5 Конструкторское проектирования…………………………………….…….32

6 Экономический расчет проекта……………………………………………..34

6.1 Расчёт себестоимости аппаратной части устройства……………………34

6.2 Расчёт себестоимости программного обеспечения……………………...39

6.3 Расчёт капиталовложений потребителя…………………………...……..45

6.4 Расчёт годовых эксплуатационных издержек потребителя……...……..46

6.5 Расчёт годовых приведённых затрат потребителя…………………...….47

7 Безопасность труда…………………………………………………………..49

7.1 Анализ и обеспечение безопасности труда…………..……………..……53

7.2 Расчет производственного освещения……………..……………………..58

7.2.1 Расчет естественного освещения…………………………….……....….58

7.2.2 Расчет искусственного освещения…………………………….…….……59

7.3 Возможные чрезвычайные ситуации……………………………………..60

7.3.1 Расчет времени эвакуации сотрудников лаборатории при пожаре…………..64

Заключение……………………………………………………………………..66

Список использованных источников……………………………....………....67

Приложение А  Исходный текст программы…..…..………………………..68

Приложение  Б  Загрузочный код программы ……………..………………..78

Приложение  В  Плата печатная блока коммутации термопар………………80

Приложение  Г  Чертеж сборочный блока коммутации термопар………….82

Приложение  Д  Плата печатная блока коммутации печи с сетью…………..83

Приложение  Е  Чертеж сборочный блока коммутации термопар……….....84

 

Введение

 

Технические средства АСУТП включают в себя все устройства, входящие в состав системы управления и предназначенные для получения информации, ее передачи, хранения и преобразования, а также для осуществления управляющих и регулирующих воздействий на технологический объект управления (ТОУ).

На современном этапе развития электроники получение новых устройств высокой точности связано с разработкой новых прецизионных и быстродействующих компонентов, а также устройств обладающих высокой точностью измерения, контроля и поверки измеряемой аппаратуры.

 Современные предприятия, особенно предприятия радиоэлектронной, приборостроительной и машиностроительной отраслей промышленности оснащены большим количеством средств измерений. Эти средства контролируют технологические процессы, с их помощью поддерживают на требуемом уровне основные средства производства, оценивают качество продукции. В большинстве случаев именно от технического состояния средств измерения зависит точность технического процесса.

Среди задач по метрологическому обеспечению качества продукции, решаемых метрологическими службами предприятий и организаций большой удельный вес имеют задачи по метрологическому обслуживанию средств измерений на стадии их эксплуатации. При этом под метрологическим обслуживанием средств измерений понимается комплекс мероприятий, обеспечивающих постоянную пригодность средств измерений к применению с нормированной для них точностью.

Большинство выполняемых операций метрологического обслуживания средств измерения в настоящее время осуществляется на предприятиях вручную, что приводит к большим затратам сил и времени работников метрологической службы, к ошибкам контроля, несвоевременному проведению метрологических работ; неэффективному использованию парка средств измерений.

Автоматизация метрологического обслуживания средств измерений на предприятиях и в организациях является новым прогрессивным способом повышения эффективности работы метрологических служб, важной составляющей комплексного управления качеством продукции.

В итоге автоматизации значительно облегчится труд персонала, обслуживающего установку. Оператор после автоматизации может, находясь на расстоянии от установки следить за всеми протекающими в печи процессами. А также может контролировать процессы регулирования и по мере необходимости вносить ручные воздействия.

За последнее десятилетие многие отрасли освоили выпуск средств автоматического контроля, управления и регулирования нового поколения на основе микропроцессорной и вычислительной техники. Эти приборы, обладают широкими функциональными возможностями, имеют не очень высокую стоимость и  позволяют строить автоматизированные системы управления процессами на небольших предприятиях. Совместимость этих средств автоматизации с вычислительными средствами (в частности персональными ЭВМ), позволяет применять существующие программные средства для формирования управляющих возможностей и отображения информации о состоянии процесса. Все это делает АСУ гибкой, более наглядной, легко управляемой. Однако в теплотехнике таких систем очень малое количество.

Принцип действия основан на  термоэлектрическом эффекте. Когда концы проводника находятся при разных температурах, между ними возникает разность потенциалов, пропорциональная разности температур. Коэффициент пропорциональности называют коэффициентом термоэдс. У разных металлов коэффициент термоэдс разный и, соответственно, разность потенциалов, возникающая между концами разных проводников, будет различная. Помещая спай из металлов с отличными коэффициентами термоэдс в среду с температурой Т1, мы получим напряжение между противоположными контактами, находящимися при другой температуре Т2, которое будет пропорционально разности температур Т1 и Т2.

Номинальная статическая характеристика термопары – номинально приписываемая термопаре данного типа зависимость ТЭДС от температуры рабочего конца и при постоянно заданной температуре свободных концов.

Решение задачи автоматизации исследования номинальных статических характеристик (НСХ) необходимо для сокращения времени и трудозатрат на исследование указанного количества термопар, НСХ которых неизвестны, а также для измерения НСХ термопар, изготовленных самостоятельно.

С помощью разрабатываемой системы исследователь может задать множество температурных точек, и измерить интересующие его термо-ЭДС в каждой из них, при этом ему не обязательно следить за процессом нагрева печей и снимать интересующие его показания, данные функции будет выполнять разрабатываемое устройство. Все данные о температуре печи и НСХ исследуемых и образцовых термопар будут передаваться и записываться в память ПЭВМ. Разработанная в данном проекте система измерения сможет измерять значения НСХ намного быстрее и гораздо точнее чем это сделает исследователь вручную.


1 Анализ ТЗ и обоснование базового варианта реализации

 

Согласно поставленной технической задаче, главной отличительной особенностью разрабатываемого устройства от средств поверки термопар должна быть следующая:

  • существующие стандартизированные средства поверки, согласно ГОСТ (укажи номер) должны поверять термопары в температурных точках, кратных 100°C, в рабочем диапазоне значений;
  • разрабатываемое устройство – система не стандартизированная, должна позволять исследователю измерять ТЭДС в произвольных точках в соответствии с выбранным шагом исследования. По техническому заданию количество и значения точек могут изменяться в зависимости от требований исследователя.

В процессе измерения НСХ основной функцией любой подобной системы является задание температур, при которых интересуется ТЭДС. По техническим условиям, исследуемые термопары  помещаются во вспомогательные образцовые печи (от 1 до 6 в каждую) с рабочими термометрами (образцовыми термопарами типа платинородий-платиновые).

Одним из основных блоков разрабатываемого устройства  является блок измерения и управления (рисунок 1.1), функциями которого являются: вычисление ошибки задания температуры, вычисление текущих значений температуры НСХ, коммутация термопар, сбор полученных данных о ТЭДС в память МК и передача накопленного содержимого в ЭВМ.

 

 

Рисунок 1.1 – Электрическая структурная схема устройства

 

 

Были рассмотрены две возможные реализации системы.

Первый – система на дискретных цифровых элементах.

Второй – на основе микроконтроллера. Использование микроконтроллера позволит выполнить перечисленные функции с использованием одной микросхемы.  Тогда как реализация этих же функций на дискретных цифровых элементах займёт на порядки больше монтажного пространства, проигрывает микроконтроллеру по сложности трассировки, монтажа, величине потребляемой мощности.

Рассмотрим факторы, влияющие на скорость измерения.

Типичная переходная характеристика печи приведена на рисунке 1.1. При начальной скорости нагрева 0.167 градусов в секунду выход только на первую температурную точку в наихудшем случае (при T1=573°K) займет не менее 1740 секунд (29 минут), а полное время измерений в наихудшем случае, при условии, что температура измеряется мгновенно – 7140 секунд, или 1час 59 минут.

Рисунок 1.1 – Переходная характеристика печи

 

Но в большинстве средств поверки при измерении ТЭДС в заданной температурной точке температуру выдерживают фиксированной в течение нескольких десятков секунд. Проанализируем причины, которые могут вызвать соизмеримую задержку в нашем устройстве.

Исходя из требований технического задания, требуемая относительная погрешность измерения составит . Подсчёты показали: для измерения термо-ЭДС 12-ти термопар программе в контроллере PIC16F877 требуется время 2,4×10-6 секунды, это при тактовой частоте 20МГц. За это время, при скорости нагревания, указанной в технических условиях, температура изменится на величину 0,4×10-6 градуса, что на 2 порядка меньше требуемой точности измерения и не требует паузы при нагреве.

Однако анализ технических документаций выпускаемых термопар показывает следующее:

  • до температуры окружающей среды термопара нагревается не мгновенно, а за определенное время, называемое инерционностью термопары;
  • инерционность термопары зависит от размеров её спая, проводов и корпуса, и может принять значения от долей до десятков секунд.

Таким образом, время полного исследования самой инерционной термопары в наихудшем случае (в диапазоне от 573 до 1473 °K с шагом 1°K) может превысить 8 часов.

В блоке измерения и управления также необходимы: нормирующий усилитель и коммутатор термопар.

Коммутация печей с сетью осуществляется с помощью коммутаторов цепи переменного тока, питающей нагреватель. Коммутаторы могут быть следующих типов:

- электро-магнитное реле;

- с помощью симисторов;

- с помощью полностью управляемых вентилей.

Оценка быстродействия современных мощных симисторов показала, что их время переключения при коммутации сети 220 В не превышает 700 нс. Это меньше максимально допустимой задержки – 1 мкс, вычисленной на основании заданной в ТЗ погрешности задания температуры. Поэтому решено  использовать в качестве коммутатора симистор, требующий менее сложных схем включения и управления, чем коммутатор на вентилях с полным управлением.

Обмен информацией между микроконтроллером и ЭВМ решено осуществить при помощи логического интерфейса связи  RS-232, физически реализованном через переходник RS232-USB на специализированной микросхеме PL-2303HX. Функциональная схема переходника приведена на рисунке 1.3.

 

 

Рисунок 1.3 – Функциональная схема переходник RS232-USB  на микросхеме PL-2303HX


2 Блоки структурной схемы  устройства

 

2.1 Блок измерения и управления

 

 

Одним из основных блоков разрабатываемого устройства является блок измерения и управления.

Микроконтроллер, выбираемый в качестве блока управления, должен иметь реализованный на кристалле модуль десятиразрядного АЦП, порты ввода вывода для подключения внешних устройств, универсальный синхронно-асинхронный приемопередатчик. Кроме того характеристики этих модулей должны удовлетворять требованиям технического задания. Для реализации МПУУ оптимально подходит однокристальный 8-битный микроконтроллер PIC16F877. Он имеет следующие, сыгравшие роль при его выборе, характеристики:

 - максимальная тактовая частота, МГц – 20;

 - последовательный синхронный периферийный интерфейс (SPI) используемый для внутрисхемного программирования микроконтроллера;

- полнодуплексный универсальный синхронно/асинхронный приемо-передатчик USART;

- 4 порта 8-битных ввода-вывода;

- напряжение питания, В – 2....5,5;

- рабочая температура, 0С - 0…70;

- максимальный ток линии, мА – 40;

- максимальный ток по выводам питания, мА – 200;

- система прерываний – до 14 источников.

Модуль аналого-цифрового преобразования имеет пять восемь каналов.

Входной аналоговый сигнал через коммутатор каналов заряжает внутренний конденсатор АЦП СHOLD.  Модуль АЦП преобразует напряжение, удерживаемое на конденсаторе в соответствующий десятиразрядный цифровой код методом последовательного приближения. Источник верхнего и нижнего опорного напряжения может быть программно выбран с выводов VDD, VSS, RA2 или RA3, рисунок 2.1.

Допускается работа модуля АЦП в SLEEP режиме микроконтроллера, при этом в качестве источника тактовых сигналов для АЦП дожжен быть выбран RC генератор.

 

 

Рисунок 2.1 – Структурная схема модуля АЦП микроконтроллера PIC16F877

 

Универсальный синхронно-асинхронный приемопередатчик (USART) – это модуль последовательного ввода/вывода, который может работать в полнодуплексном асинхронном режиме для связи с терминалами, персональными компьютерами или синхронном полудуплексном режиме для связи с микросхемами ЦАП, АЦП, последовательными EEPROM и т.д.

USART может работать в трех режимах:

- асинхронный, полный дуплекс;

- ведущий синхронный, полудуплекс;

- ведомый синхронный, полудуплекс.

Для обмена данными между персональным компьютером и микроконтроллером будет использоваться USART в полнодуплексном асинхронном режиме.

В этом режиме USART использует стандартный формат NRZ: один стартовый бит, восемь битов данных и один стоповый бит. Интегрированный восьмиразрядный генератор BRGпозволяет получить стандартные скорости передачи данных. Приемник и передатчик последовательного порта работают независимо друг от друга, но используют один и тот же формат данных и одинаковую скорость обмена.

Модуль USART в асинхронном режиме состоит из следующих элементов:

- генератор скорости обмена;

- цепь опроса;

- асинхронный передатчик;

- асинхронный приемник.

Структурные схемы асинхронного передатчика и асинхронного приемника USART приведены на рисунках 2.2 и 2.3 соответственно.

 

 

Рисунок 2.2 – Структурная схема асинхронного передатчика USART

 

Рисунок 2.3 – Структурная схема асинхронного приемника USART

 

Структурная схема микроконтроллера PIC16F877 приведена на рисунке 2.4.

 

 

Рисунок 2.4 - Структурная схема микроконтроллера PIC16F877

 

Как видно из набора интегрированных на кристалл микроконтроллера модулей и из их характеристик - микроконтроллер PIC16F877 способен решить все поставленные в ТЗ задачи.

 

2.2 Блоки согласующего измерительного усилителя и коммутации термопар

 

 

Согласующий измерительный усилитель выполняет функцию усиления ТЭДС с целью согласования максимального значения ТЭДС и предела шкалы внутреннего АЦП  микроконтроллера и, соответственно, согласования чувствительности термопары и АЦП.

Поскольку предельные значения рабочих температур и ТЭДС для разных типов термопар существенно отличаются, фиксированное вычисленное значение коэффициента усиления обеспечит наименьшую погрешность измерения для термопар только одного типа. Для обеспечения такой же минимальной погрешности для всех типов необходимо подстраивать коэффициент усиления.

Привычный способ подстройки с помощью подстроечного резистора требует дополнительного времени, методики и измерительных операций для задания требуемого коэффициента усиления в начале исследования.

В современных измерительных системах некоторые резисторы обратной связи усилителя, от которых зависит коэффициент передачи, заменяется цифровым потенциометром, что даёт возможность программировать усиление кодом с МК.

 

 

2.3 Блок коммутации с сетью

 

 

Блок коммутации связывает микроконтроллер и печи.  Через вывод

микроконтроллера RB0 подается импульс, с помощью которого открываются симисторы BTB41-600 управляющие нагревом печей. Симисторы подключены к сети переменного напряжения питающего печи  и к самим печам. Роль гальванической развязки между симисторами и микроконтроллером служат оптроны MOC3043.

 

 

 

 

3 Разработка схемы электрической принципиальной

 

3.1 Принципиальные схемы блоков

 

 

 При разработке принципиальной схемы блоков согласующего измерительного усилителя и коммутации термопар необходимо учесть:

  • разъемы для подключения термопар;
  • клеммную колодку для подключения источника напряжения 5 В для питания микросхем;
  • возможность программирования коэффициента усиления с помощью микроконтроллера и цифровых потенциометров в обратной связи усилителя.

 

3.1.1 Расчёт согласующего усилителя.

Минимальное напряжение получаемое с термопар при температуре 1200°С составляет 15.973 мВ для термопары типа S на выходе операционного усилителя MAX4238 напряжение не должно превышать 5 В. При этом коэффициент передачи согласующего измерительного усилителя, определяемый выражением

 

                                                       ,                                                           (3.1)

 

примет значение 725,689

Коэффициент усиления связан с номиналами резисторов обратной связи (рисунок 3.2) выражением

 

                                                                                                                 (3.2)  

 

где R6 – эквивалентное сопротивление цифрового потенциометра.

Приняв R4=18 кОм, R3=82 Ом, вычисляем R6 по формуле

 

                                        (3.3)

 

Учитывая формулу (3.3) можно вычислить значение кода, который должен формировать МК для цифрового потенциометра, в зависимости от типа используемых термопар по соотношению

 

                                                (3.4)

 

где h – количество ступеней цифрового потенциометра, h=256 – максимально возможное;

       Rmax – номинальное сопротивление цифрового потенциометра, Ом.

 

 

 

Рисунок 3.1 – Согласующий измерительный усилитель

 

Исходя  из формул (3.3) и (3.4) вычислим значение кода, формируемое цифровым потенциометром для термопар приведенных в стандарте МЭК 60584-1,2.

Для термопары типа B (ТПР (Платина - 30 % родий/платина - 6 % родий)) для максимального коэффициента усиления:

 

кОм

 

Принимаем ближайшее большее стандартное значение Rmax = 100 кОм.

                      

                       

 

Для термопары типа S (ТПП (Платина - 10 % родий/платина)):

 

кОм

 

 

                              

 

Для термопары типа R (ТПП (Платина - 13 % родий/платина)):

 

 кОм

 

                              

                                                     

Для термопары типа J (ТЖК (Железо/медь - никель (железо/константан)):

 

кОм

 

                                                                                            

Для термопары типа T (ТМК (Медь/медь - никель (медь/константан))):

 

кОм

 

                   

                                                                          

Для термопары типа E (ТХКн (Никель - хром/медь - никель (хромель/константан))):

                        

 кОм

 

                   

 

Для термопары типа K (ТХА (Никель - хром/никель - алюминий (хромель/алюмель))):

 

 кОм                      

 

                   

Для термопары типа N (ТНН (Никель - хром - кремний/никель - кремний (нихросил/нисил))):

 

                          кОм                             

 

                

 

Для термопары типа A-1 (ТВР (Вольфрам - рений/вольфрам - рений)):

                         

кОм

           

 

Для термопары типа A-2 (ТВР (Вольфрам - рений/вольфрам - рений)):

 

     кОм

 

                   

 

Для термопары типа L (ТХК (Хромель/копель)):

 

 кОм

 

                      

 

Для термопары типа M (ТМК (Медь/копель)):

 

 кОм

 

 

Для термопары типа Au/Pt:

 

 кОм

 

                                

      

                      

Один из доступных в торговой сети потенциометров с указанными выше значениями h и Rmax – MCP41010 с интерфейсом SPI.

Для связи цифрового потенциометра и микроконтроллера можем использовать модуль ведущего синхронного последовательного порта (MSSP). Данный модуль может работать в одном из двух режимов:

- последовательный периферийный интерфейс (SPI);

- Inter-Integrated Circuit (I2C).

Структурная схема цифрового потенциометра MCP41010 приведена на рисунке 3.2.

 

 

Рисунок 3.2 – Структурная схема цифрового потенциометра MCP41010

 

3.1.2 Функцию коммутации термопар выполняют два мультиплексора 74HC4051, адресные сигналы на которые приходят с выводов микроконтроллера PD2-PD4.

 

 

3.1.3 При разработке принципиальной схемы блока измерения и управления необходимо учесть:

- разъем для передачи информации через интерфейс RS232;

- разъем для подключения двух плат терморегуляции;

- внешний резонатор.

Внешний резонатор подключается к выводам OSC1, OSC2 микроконтроллеров, рисунок 3.2. Эти выводы являются входом и выходом инвертирующего усилителя тактового генератора. Емкости конденсаторов С1 и С2 подключаются между выводами резонатора и общим проводом, зависят от типа резонатора. Для кварцевого резонатора HC-49 20 MГц емкости этих конденсаторов находятся в пределах 20..50 пФ

 

 

Рисунок 3.2 – Внешний резонатор

 

   3.1.4 Схемы коммутации располагаются на отдельных платах, и соединяются с платой измерения и управления через два разъема (рисунок 3.3)

 

 

Рисунок 3.3 – Электрическая принципиальная схема блоков коммутации

Сформированная схема электрическая принципиальная устройства для исследования НСХ термопар приведена в документе

ГОУ ОГУ 210106.65.1411.13.Э3

 

 

3.2 Оценка погрешностей измерения термоЭДС.

                                                                                     

 

Оценим погрешности, вносимые отдельными узлами устройства.

3.2.1 Погрешности, вносимые прецизионным операционным усилителем MAX4238.

Относительная погрешность, вызванная дрейфом нуля ОУ, рассчитывается по формуле (3.5).

                                                          ,                                        (3.5)

 

где  V – дрейф нуля, В/0С;

Uвх. макс – максимальное входное напряжение, В

 

.

 

Относительная погрешность, вызванная смещением нуля ОУ, рассчитывается по формуле (3.6).

 

                                                                                                          (3.6)

 

 

 

Максимальная погрешность, возникающая из-за изменения коэффициента усиления неинвертирующего усилителя на ОУ, рассчитывается по формуле (3.7).

                                                                    ,                                          (3.7)

 

 

где δK – изменение коэффициента усиления ОУ в диапазоне рабочих температур, %;

F – фактор обратной связи.

Значение фактора обратной связи рассчитывается по формуле (3.8).

 

                                                               F=1+bK                                               (3.8)

 

Значение коэффициента β рассчитывается по формуле (3.9).

                                                                                                          (3.9)    

                                           

 

С учетом формул (3.8) и (3.9) получим:

 

         F=1+4,5×10-3 ∙ 725,689 = 3,29

 

Следовательно

 

3.2.2 Погрешности, вносимые аналоговыми мультиплексорами.

Аналоговые мультиплексоры вносят погрешности передачи анало­говых сигналов, причиной возникновения которых являются: ток утечки через закрытый канал, паразитные емкости каналов, сопро­тивление открытого ключа.

Токи утечки проходят как в выключенном, так и во включенном канале, и являются суммой токов соответствующих утечек, указан­ных в нормативных документах, а также входного тока операционного усилителя (если он используется).

Входная емкость мультиплексора 74HC4051 небольшая (3,5 пФ), поэтому практически не вносит динамических погрешностей переключения. Паразитные емкости закры­того канала также мала (4,5 пФ).

Токи утечки закрытого канала, равные 0,1 мкА, вызывают в многоканальном мультиплексоре падение напряжения на сопротив­лении открытого канала 70 мкВ. Вносимая токами утечки погреш­ность передачи сигнала рассчитывается по формуле (3.10).

 

                                                                      ,                                        (3.10)

 

 

где Uут – падение напряжения на сопротив­лении открытого канала, вызванное токами утечки;

E300 – максимальное значение термоЭДС при 300 0С для исследуемых термопар.

 

 %

                                                                               

Для подавления синфазной помехи расстояние между параллельными участками кабелей аналоговых сигналов и кабелей питания переменного тока должно превышать 1/4 длины этих участков чтобы обеспечить ослабление помех 10000:1. Кабели аналоговых сигналов необходимо экранировать. Экраны должны контактировать с корпусом по всему периметру, чтобы контактное сопротивление не превышало ~ 0,5 мОм.

3.2.3 погрешности, вносимые модулем АЦП микроконтроллера.

Абсолютная погрешность квантования рассчитывается по

формуле (3.11).

 

                                                                                                                           (3.11)

 

 В                                                                                             

 

Относительная погрешность квантования рассчитывается по

формуле (3.12).

                                   

                                                                          

                                                                                                                      (3.12)

 

                                                                                                                                                                             

                                                                                           

Из технической документации микроконтроллера PIC16F877:

  • дифференциальная нелинейность нелинейности, δдиф, равная 0,00488 В;
  • интегральная погрешность нелинейности, δдиф, равная 0,00488 В.

Полная погрешность измерения термоЭДС рассчитывается по формуле

 

                                                                                                                     (3.13)

 

 

                                                                       

                     

                                                                %

 


4 Разработка программного обеспечения

 

4.1 Разработка алгоритма программы управления

 

 

В соответствии с требованиями задания, устройство для сбора информации о номинальных статических характеристиках термопар должно передавать результаты опроса термопар в ПЭВМ. Устройство должно изменять значение заданной начальной, максимальной температуры, шага температуры, значение времени инерционности термопар в соответствие с заданием исследователя, введённым в ПЭВМ и переданным по интерфейсу связи в устройство.

При включении устройство для сбора информации о номинальных статических характеристиках термопар должно принимать и обрабатывать сигнал с образцовой термопары, сравнивать значение температуры с датчика и заданное минимальное, при их равенстве  выводить сигнал управление на нагрузку. При достижении контрольной точки измерения термоЭДС, МК будет удерживать температуру в печи на уровне Тзi ± 1 0C на время, равное времени инерционности термопары (рисунок 4.1).

 

 

Рисунок 4.1 – Диаграмма контроля температуры в печи

 

Взаимодействие микропроцессорного устройства (МПУ) с входными и выходными сигналами представлено на рисунке 4.2.

 

 

Рисунок 4.2 – Взаимодействие МПУ с входным и выходным сигналами

 

В соответствии со структурной схемой МПУ, приведенной на рисунке 1.1 и  принятыми решениями МК должен выполнять большинство основных функций МПУ, включая:

  1. прием значений заданной максимальной и минимальной температуры, шага исследования, кода и инерционности термопары с ПЭВМ;
  2. кодирование коэффициента усиления в соответствие с кодом термопары;
  3. ожидания момента достижения температуры очередного исследуемого значения с отклонением в 1°K в обе стороны (момент t1 на рисунке 4.1);
  4. поддержание достигнутой температуры с допустимыми флуктуациями в течение заданного времени инерционности термопары (интервал t1…t1+dt на рисунке 4.1);
  5. коммутация исследуемых термопар
  6. чтение значений ТЭДС исследуемых термопар;
  7. контроль превышения ТЭДС образцовой термопары со значением, соответствующим максимальной температуре исследования;
  8. формирование сигналов управления тиристорными коммутаторами печей с сетью;
  9. передача обработанных сигналов с датчиков в ПЭВМ.

Перейдем к формированию алгоритма программы.

После включения питания МПУ инициализируются модуль АЦП и порты, после чего программа ожидает, когда с управляющей ПЭВМ приходят все начальные данные, которые загружаются в регистры общего назначения, после чего начинается нагрев печей.  К модулю АЦП микроконтроллера коммутируется первая образцовая термопара. Сравниваются значения температуры в печи с минимально заданной. Если значения температуры равны, происходит опрос термопар первой печи и передача полученных данных в ПЭВМ.

Если же температура в первой печи не достигла значения минимально заданной, то к АЦП коммутируется вторая образцовая термопара и сравниваются значения температуры во второй печи с минимально заданной. При равенстве температур МК опрашивает термопары второй печи и передает полученные данные в ПЭВМ. После достижения максимальных значений температуры в печах, МК передает управляющий сигнал на их отключение.

Сформированная схема программы приведена в документе

ГОУ ОГУ 210106.65.1011.01.13

 

 

4.2 Разработка программы для управляющего микроконтроллера

 

 

Языки программирования низкого уровня в настоящее время называются ассемблерами. Основу ассемблера составляют машинные команды процессора в мнемоническом представлении. Кроме машинных команд современные языки ассемблера, как правило, включают директивы, определяющие ход трансляции, а также средства создания макрофункций. Рассмотрим вариант программирования на языке ассемблера.

Отладка программ микропроцессорной системы проводится, как правило, на тех же ЭВМ, на которых велась разработка программ, и на том же языке программирования, на котором написаны отлаживаемые программы, и может быть начата на ЭВМ даже при отсутствии аппаратуры МПС. При этом в системном программном обеспечении ЭВМ должны находиться программы (интерпретаторы или эмуляторы), моделирующие функции отсутствующих аппаратных средств.

Проверка корректности программ, то есть проверка соответствия их внешним спецификациям, осуществляется тестированием. Программы проверяются на функционирование с различными исходными данными. Результаты функционирования программ сравниваются с эталонными значениями.

Отладка программ подразделяется на следующие этапы: планирование отладки; составление тестов и задания на отладку; исполнение программ; информирование о результатах исполнения программ по заданным исходным данным; анализ результатов, обнаружение ошибок и локализация неисправностей.

В нашем случае, как для разработки программы, так и для автономной ее отладки использовался специализированный пакет программ MPLAB IDE фирмы Microchip.

Сформируем константы, загружаемые в управляющие регистры,  для работоспособности необходимых модулей.

Результат аналого-цифрового преобразования сохраняется в регистрах ADRESH (старший байт) и ADRESL (младший байт), рисунок 4.2. Когда преобразование завершено, 10-разрядный результат аналого-цифрового преобразования записывается в регистры ADRESH:ADRESL, после чего сбрасывается флаг GO/-DONE (ADCON0<2>) и устанавливается флаг прерывания ADIF в регистре PIR1. Сброс бита GO/-DONE в '0' во время преобразования приведет к его прекращению.

После включения и конфигурации АЦП выбирается рабочий аналоговый канал. Необходимо руководствоваться рекомендованной последовательностью действий для работы с АЦП:

а) настроить и включить модуль АЦП;

б) выдержать паузу, необходимую для зарядки конденсатора CHOLD , не менее 20 мкс [1];

в) начать аналого-цифровое преобразование - установить бит GO/-DONE;

г) ожидать окончания преобразования (пока бит GO/-DONE не будет сброшен или флаг ADIF в регистре PIR1 не будет установлен);

д) считать результат преобразования из регистров ADRESH:ADRESL.

 

 

Рисунок 4.3 – Структура регистров результата АЦП

 

Данные от МК к потенциометру MCP41010 должны передаваться через интерфейс SPI в ведущем режиме, включающий две линии:

- SDO – выход последовательных данных;

- SCK – тактовый сигнал.

Cигналы SDO и SCK формируются на линиях 3 и 5 порта PORTС.  Для включения модуля MSSP необходимо установить бит SSPEN в «1». Для корректной работы последовательного порта биты регистра TRISС должны быть настроены следующим образом:

- SDO, бит TRISC <5> должен быть сброшен в «0»;

- SCK (ведущий режим), бит TRISC <3> должен быть равен еденице.

Сформируем константы для загрузки в управляющие регистры:

 

Init_PC               EQU     b'11000000'; для корректной работы последовательного порта

Init_SSPC            EQU     b'11000000'; включение модуля MSSP

 

В соответствии со схемой МПУ сигналы на адресные выводы мультиплексоров поступают с линий порта PORTD.

Для настройки модуля PORTD  воспользуемся таблицей 4.1. Настройка линий определяется содержимым управляющего регистра TRISD.

 

 

Таблица 4.1 – Регистры и биты, связанные с работой PORTD

 

Сформируем константы для загрузки в управляющие регистры:

 

Init_PD               EQU     b'00000000'; все линии на вывод

 

Для настройки модуля  АЦП  воспользуемся таблицей 4.2. Настройка работы определяется содержимым управляющих регистров ADCON0 и ADCON1.

 

Таблица 4.2 – Регистры и биты, связанные с работой модуля АЦП

 

Сформируем константы для загрузки в управляющие регистры:

 

- INIT_ACP  = b'01000001'; Fosc/8, канал AN0, включить модуль АЦП);

- INIT_AD1  = b'10001110'; (результат A/D преобразования вправо, канал AN0 аналоговый).

 

Для настройки МК на работу модуля USART воспользуемся таблицей4.3.

 

Таблица 4.3 – Регистры и биты, связанные с работой модуля USART

Сформируем константы для загрузки в управляющие регистры:

 

-INIT_TxD = b' 00100100' (8-разрядная передача, асинхронный высокоскоростной режим );

-INIT_RxD  = b' 10010000' (модуль USART включен, 8- разрядный прием, асинхронный режим).

 

Значение скорости обмена в асинхронном режиме определяется значением SPBRG по таблице 4.4.

 

Таблица 4.4 – Скорость обмена в асинхронном режиме (BRGH=1)

 

Выберем значение скорости 19,2 Кбит/c при Fosc=20 МГц, для этого необходимо в регистр SPBRG загрузить значение (десят.) = 15. Сформируем константу для загрузки в регистр  SPBRG.

 

Init_SPB       EQU         b'00010011'

 

Управляющий сигнал на коммутатор с сетью будет приходить с линии 0 порта PORTB. Для настройки модуля PORTB  воспользуемся таблицей 2. Настройка линий определяется содержимым управляющего регистра TRISB.

 

Таблица 4.5 – Регистры и биты, связанные с работой PORTB

 

Сформируем константу для загрузки в управляющий регистр:

 

Init_PB               EQU   b'11110111'

 

Текст программы приведен в приложении А.

5 Конструкторское проектирование

 

Разработка печатных плат велась в среде программ семейства P-CAD 2006. Было принято решение о том, что необходимо разработать печатные платы для каждого блока коммутации с сетью, блока коммутации термопар и блока управления и измерения отдельно. Это позволит изменять, усовершенствовать конструкцию каждого блока независимо от остальных.

Размещения в среде программы PCB, для всех трех блоков, проходило в ручном режиме.

Трассировку печатного монтажа было решено выполнять в двух слоях с шагом координатной сетки 2,5 мм. Был выбран третий класс точности и заданы соответствующие допустимые значения для ширины трасс печатных проводников (0,25 мм) и зазоров между ними (не менее 0,25 мм).

 Используя данные о диаметре выводов компонентов, были определены необходимые размеры контактных площадок. Эта информация была введена при редактировании стратегии трассировки

После решения задач синтеза конструкции необходимо выполнить верификацию   полученного   проектного   решения   на   соответствие принципиальной   электрической   схеме   и   соблюдение   заданных конструкторско-технологических норм. В ходе решения задач верификации выполняют и устраняют несоответствия между схемой и печатной платой и нарушения технологических требований. Было принято решение о корректности полученного проектного решения.

Проектирование печатной схемы выполнялось в два этапа:

 – создание библиотек элементов для среды P-CAD и создание с использованием программы P-CAD Schematic файла схемы принципиальной электрической (*.sch) и файла списка соединений (*.net).

 – компоновка  элементов на печатной плате и разводка соединений между элементами с использованием пакета P-CAD PCB.

Используя программы Simbol Editor.exe, Pattern Editor.exe, Library Executive.exe, входящие в состав пакета P-CAD 2006, создаем библиотеку name.lib для проекта в соответствии с выбранными элементами в разделе 3. Используя программу Schematic.exe, настраиваем конфигурацию библиотек, и в формате А1 строим принципиальную электрическую схему name.sch.

Используя утилиту Generate Netlist в программе Schematic.exe создаем файл листинга name.net.

В программе PCB.exe, используя утилиту Load Netlist, загружаем файл Sin.net, в результате чего получаем набор необходимых элементов с реальными посадочными местами, соединенных соответственно принципиальной схеме. В этой же программе создаем заготовку печатной платы с параметрами:

 - размер 100 мм × 100мм;

   - число слоев – 2.

А также производим трассировку и сохраняем полученную заготовку печатной платы в файле name.pcb.

В программе PCB.exe производим распечатку следующих рисунков:

 -размещение элементов;

 -печатный монтаж.

Точно таким же образом выполнялось проектирование двух печатных плат коммутации с сетью, необходимых для подключения платы измерения и управления к печам.

Параметры плат:

- размер 82,5 мм × 62,5 мм;

- число слоев – 1.

В программе PCB.exe производим распечатку следующих рисунков:

-размещение элементов;

           -печатный монтаж;

Чертежи монтажа и печатной платы блока измерения и управления приведены в в документах  ГОУ ОГУ 210106.65.1011.13СБ и ГОУ ОГУ 210106.65.1011.13 соответственно.

Сборочные чертежи и чертежи печатных плат блоков коммутации термопар и коммутации печей с сетью приведены в приложениях Г, Е, В, Д соответственно.

 

6 Экономический расчёт проекта

 

В данном разделе производится экономическое обоснование разработки и изготовления устройства для сбора информации о номинальных статических характеристиках термопар. Производится расчёт себестоимости отладочного устройства и расчёт годовых затрат на эксплуатацию. Расчёт экономической эффективности не производится,  так как проектируемое устройство не предназначено для реализации и будет использоваться только в исследовательских целях.

 

 

6.1 Расчёт себестоимости аппаратной части устройства

 

 

Расчёт себестоимости включает в себя расчёт необходимых затрат, связанных с созданием устройства. Калькуляция включает в себя следующие статьи затрат:

- материалы;

- покупные комплектующие изделия и детали;

- основная и дополнительная плата работников;

- отчисления на социальные нужды;

- затраты на потребление электроэнергии;

- накладные расходы.

Цены на материалы, покупные комплектующие изделия и детали взяты из прайс-листов торговых организаций.

Затраты на основные и вспомогательные материалы определены с учётом транспортно-заготовительных расходов.

 

,                                           (6.1)

 

где  Смзатраты на материалы;

       Сом – затраты на основные материалы;

       Сом – затраты на вспомогательные материалы;

       kтр – коэффициент транспортно-заготовительных расходов.

Стоимость основных материалов Cом определяется по формуле:

 

                                                   (6.2)

 

где Hi – норма расхода i-го материала на единицу;

       Цi – цена единицы i-го материала.

Результаты расчёта стоимости основных материалов, используемых при изготовлении проектируемого макета, представлены в таблице 6.1.

 

Таблица 6.1 – Стоимость основных материалов

Наименование

материала

Единица

измерения

Количество

Цена,

р./ед.

Общая

стоимость, р.

Бумага для принтера

шт.

1

130

130

Чернила для струйного принтера

шт.

1

80

80

Текстолит листовой

см2

23х22

0,39

200

Канифоль

гр.

20

1,25

25

Припой бытовой

гр.

20

2,5

50

Итого

 

 

 

485

 

Стоимость вспомогательных материалов Свм принимается равной

10 % от стоимости основных и составит:

 

 р.

 

Коэффициент транспортно-заготовительных расходов  примем равным 5 %, в итоге:

 

См = (485 + 48,5) ∙ (1 + 0,05) = 560,17 р.

 

Затраты на комплектующие изделия рассчитаны, ориентируясь на цены из прайс-листов компаний по продаже изделий электронной техники.

 

,                                      (6.3)

 

где   ki – количество изделий i-го наименования;

        Цi – цена i-го изделия.

Все покупные комплектующие изделия и узлы, использованные при изготовлении устройства, представлены в таблице 6.2.

В соответствии с данными таблицы 6.2 определяется общая стоимость покупных комплектующих изделий Си.об по формуле:

 

                                                                                    (6.4)

 

 

Таблица 6.2 – Покупные комплектующие изделия

Наименование изделия

Количест-

во, шт.

Цена, р/шт.

Общая

стоимость, р.

Резистор SMD 0,063 Вт

5

2,16

10,8

Конденсатор 1 мкФ, 10 В

2

5

10

Конденсатор 33 пФ, 10 В

         2

3,12

6,24

Мультиплексор 74HC4051N DIP16

2

34

68

Цифровой потенциометр MCP42100

1

90,2

90,2

Микроконтроллер PIC16F877 PDIP40

 1

210.34

210.34

Кварцевый генератор 20 МГц  

1

150,1

150,1

Оптрон MOC3043 PDIP6

 2

40

80

Симистор BTB 41-600

2

177,88

355,76

Операционный  усилительMAX4238

1

77,46

77,46

Клеммная колодка 1х10

1

10

10

Источник опорного напряжения MCP1541

1

49,2

49,2

Штыревой разъем

1

10

10

Клеммная колодка 1х2

23

5,2

120

Итого

 

 

1248,1

 

Стоимость неучтенного оборудования Сн.об  принимается равной 5 % от стоимости учтенного:

 

                                   (6.5)

 

Транспортно-заготовительные расходы Стз.об  принимаются равными 5 % от стоимости учтенного и неучтенного оборудования:

 

                      (6.6)

 

Полная стоимость покупных комплектующих изделий Cоб определяется как сумма стоимости учтенного и неучтенного оборудования с учетом транспортно-заготовительных расходов:

 

                                  (6.7)

 

 

Основная зарплата производственных рабочих определяется по формуле:

 

,       (6.8)

 

где  t – трудоёмкость изготовления устройства;

       ЧТС – часовая тарифная ставка;

       kпр – процент премии и прочих доплат;

       kр – районный коэффициент.

Разработку и изготовление аппаратной части отладочного устройства осуществляет инженер-электронщик. Оклад инженера-электронщика составляет 12500 р./мес.

Основные этапы разработки и изготовления приведены в таблице 6.3.

 

  Таблица 6.3 – Основные этапы разработки

Стадии разработки

Трудоёмкость tи, ч

Анализ технического задания

1

Сбор материала к решению поставленного комплекса задач

10

Анализ существующих решений комплекса задач

18

Выбор методов решений

8

Проектирование основных узлов и блоков

20

Синтез принципиальной схемы

10

Разработка платы устройства

12

Изготовление устройства

16

Комплексная отладка устройства

20

Всего:

115

 

Таким образом, трудоёмкость изготовления устройства инженером-электронщиком (tи) составила 115 часов.

Часовая тарифная ставка определяется по формуле:

 

,                                                      (6.9)

 

где  Омес – месячный оклад разработчика;

       Фмес – фонд времени работ разработчика за месяц.

 

,                                                (6.10)

 

где  Дмеср – количество  рабочих дней в месяц, Дмеср = 22 день;

       tрд – длительность рабочего дня, tрд = 8 ч.

 

ч.

 

В итоге:

 

 р/ч.

 

Приняв коэффициент премий и прочих доплат равным kпр=30 %, а районный коэффициент kр=15 %, получим:

 

 р.

 

Дополнительная заработная плата определяется по формуле:

 

,                                         (6.11)

 

где       kдоп – коэффициент дополнительной з/п, равный 10 %.

 

 р.

 

Отчисления на социальные нужды (в пенсионный фонд, в фонд социального страхования, в фонд медицинского страхования, на социальное страхование от несчастных случаев на производстве и профессиональных заболеваний) определяются по формуле:

 

,                                  (6.12)

 

где  kсн – коэффициент отчислений на социальные нужды,

равный 34,2 %.

 

 р.

 

Фактический фонд времени работы оборудования для изготовления и отладки изделия – 36 ч.

Затраты на потребление электроэнергии оборудованием для изготовления изделия вычисляются по формуле:

 

,                                              (6.13)

 

где Роб  – суммарная мощность оборудования для пайки, кВт;

       Фоб  – фактический фонд времени работы оборудования, ч;

       Цэл – тариф на электроэнергию, р.

 

 р.

 

Накладные расходы вычисляются по формуле:

 

,                                  (6.14)

где  kн – коэффициент накладных расходов, равный 15 %.

 

 р.

 

Себестоимость разработки и изготовления аппаратной части определяется по формуле:

 

 р.

 

 

6.2 Расчёт себестоимости программного обеспечения

 

 

Программное обеспечение устройства разрабатывается одним программистом и представляет собой набор тестовых подпрограмм для загрузочного кода микроконтроллера. Трудоёмкость создания программного обеспечения сведена в таблицу 6.4.

 

  Таблица 6.4 – Трудоёмкость создания программного обеспечения.

Стадия разработки

Трудоёмкость tп

Описание задач

4

Разработка алгоритма решения

8

Разработка блок-схем алгоритмов

10

Составление программы

15

Отладка программы

10

Подготовка документации

8

Всего:

55

 

Затраты на создание программного обеспечения определяются по формуле:

 

,                             (6.15)

 

где  Соснзп – затраты на основную з/п разработчика;

       Сдопзп – затраты на дополнительную з/п разработчика;

       Зснзп – затраты на социальные нужды;

       Змв – затраты на оплату машинного времени;

       Знр – накладные затраты.

Основная зарплата определяется по формуле:

                             (6.16)

 

где    tп – трудоёмкость создания программного обеспечения;

             Счас – среднечасовая оплата труда разработчика.

 

Среднечасовая оплата труда разработчика определяется по формуле:

 

,                                              (6.17)

 

где  Омес – месячный оклад разработчика (примем 6800 р./мес.);

       Фмес – фонд времени работ разработчика за месяц.

 

,                                          (6.18)

 

где  Дмеср – количество  рабочих дней в месяц;

        tрд – длительность рабочего дня.

 

,

 

 

 р.

 

Дополнительная заработная плата определяется по формуле:

 

,                                         (6.19)

 

где   kдоп – коэффициент дополнительной зарплаты, равный 10 %.

 

 р.

 

Отчисления на социальные нужды определяются по формуле:

 

,

 

где  kсн – коэффициент отчислений на социальные нужды, равный 34,2 %.

 

 р.

 

Затраты на оплату машинного времени определяются по формуле:

 

,                          (6.20)

 

где  tп – затраты на составление программы по готовой блок-схеме;

       tотл – затраты труда на отладку программы;

       tд – затраты труда на подготовку документации;

       Смаш – стоимость машино-часа арендуемого времени.

Стоимость машино-часа арендуемого времени определяется по формуле:

 

,                                            (6.21)

 

где  Зэвм – полные затраты на эксплуатацию ЭВМ в течение года;

      

       Фэвм – фонд времени работы ЭВМ за год.

 

,                             (6.22)

 

где  h – количество смен, примем равным 2;

       Др – количество рабочих дней в году, равное 250;

       α – процент потерь времени на ремонтно-профилактические работы (5 %);

 

.

 

,                   (6.23)

 

где  Зобслзп – затраты на зарплату обслуживающего персонала;

       Зам – затраты на амортизацию оборудования;

       Зэл – затраты на потребление электроэнергии за год;

       Звм – затраты на вспомогательные материалы;

       З – затраты на текущий ремонт;

       Зпр – прочие затраты.

 

Затраты на зарплату обслуживающего персонала определяются по формуле:

 

,       (6.24)

 

где  Омесi – месячный оклад i-ой категории персонала;

       Чi – численность персонала i-ой категории;

       Nм – количество машин в компьютерном зале.

Компьютерный зал содержит 10 компьютеров, обслуживается одним лаборантом с окладом 1050 р.

 

 р.

 

Затраты на амортизацию определяются по формуле:

 

,                                            (6.25)

 

   где    Сбал – балансовая стоимость компьютера;

             Нам – норма амортизации.

 

,                          (6.26)

где       Ц – цена компьютера;

             kоборmр – коэффициент, учитывающий затраты на транспортировку оборудования;

             kум – коэффициент учитывающий установку и монтаж оборудования.

Примем, что цена компьютера составляет 16 тыс. рублей и срок его службы – 8 лет.

 

 %,                                           (6.27)

 

где       Тсл – срок службы (принят равным 8 лет).

 

.

 

В итоге, затраты на амортизацию составят:

 

 р.

 

Затраты на потребление электроэнергии за год определяются по формуле:

 

,                                  (6.28)

 

где       Рэвм – суммарная мощность персонального компьютера;

              Цэл – тариф на электроэнергию;

              kим – коэффициент интенсивности использования по мощности, равный 0,98.

С учётом того, что суммарная мощность персонального компьютера (системный блок и периферийное оборудование) составит 0,5 кВт и тариф на электроэнергию из расчёта 2,86 р./кВтч, получим:

 

 р.

 

Затраты на текущий ремонт определяются по формуле:

 

,                                            (6.29)

 

где  k – коэффициент учитывающий затраты на текущий ремонт, равный 4 %.

 

 р.

 

,                                        (6.30)

 

где   kвм – коэффициент затрат на вспомогательные материалы, равный

10 %.

 

 р.

 

,                                      (6.31)

 

где       kпр – коэффициент прочих затрат, равный 7 %.

 

 р.

 

В итоге, полные затраты на эксплуатацию ЭВМ составят:

 

 р.

 

Стоимость машино-часа арендуемого времени составит:

 

 р/ч.

Затраты на оплату машинного времени составят:

 

 р.

 

Накладные расходы определяются по формуле:

 

                                         (6.32)

 

где   kнр –  коэффициент накладных расходов.

 

 р.

 

 В итоге себестоимость программного обеспечения составит:

 

 р.

 

Так как проектируемое устройство не предназначено для реализации и будет использоваться только в измерительной лаборатории, то его цена не рассчитывается.

 

 

6.3 Расчёт капиталовложений потребителя

 

 

В сфере эксплуатации нового устройства в состав капитальных вложений потребителя включаются все единовременные затраты, которые должен нести потребитель в связи с переходом к эксплуатации нового устройства. В данном случае общие капиталовложения потребителя будут складываться из полной себестоимости устройства, затрат на установку и монтаж, и затрат на доставку:

 

 ,                                         (6.33)

 

где     Зум – затраты на установку и монтаж, р.;

            Зд – затраты на доставку, р./шт. (принимаются в размере 2-4 % от полной стоимости).

Полная себестоимость разработанного и изготовленного устройства равна:

 

,                                               (6.34)

 

 р.

,                                                (6.35)

 

где     Кум – норматив затрат на установку и монтаж, равный 1 %.

 

 р.

 

р.

 

 

 

6.4 Расчёт годовых эксплуатационных издержек потребителя

 

Расчёт годовых эксплуатационных издержек потребителя производится путём прямого счёта по формуле:

 

И = Иобс + Иэл + Ирем ,                                       (6.36)

 

где Иобс – заработная плата обслуживающего персонала, р.;

        Иэл – издержки на электроэнергию, р.;

        Ирем – издержки на ремонт, р.

Зарплата обслуживающего персонала (лаборанта) рассчитывается по формуле:

 

,                 (6.37)

 

где  Злмес – месячная заработная плата;

       Тсм – длительность смены, ч.;

       Дрм – количество рабочих дней в месяце;

       Тобс – время, затрачиваемое на обслуживание, ч;

       Кздоп – процент дополнительной заработной платы;

       Ксн – норматив отчислений на социальные нужды;

        Кр – районный коэффициент.

Затраты на заработную плату лаборанта составят:

 

 р/год.

 

Издержки на электроэнергию:

 

Иэл = Мпотр∙Ту∙Цэл,                                      (6.38)

 

где Мпотр – потребляемая мощность устройства и ПК - 0.75 кВт;

       Ту – действительный фонд времени работы устройства, равный 160 ч;

       Цэл – стоимость 1 кВт-ч электроэнергии, р.

 

 р/год.

 

Издержки на ремонт определяются по формуле:

 

,                                          (6.39)

где Крем – норматив затрат на ремонт, равный 4 % от общих капиталовложений:

 р.

 

Общие годовые эксплуатационные издержки потребителя составят:

 

 р.

 

 

6.5 Расчёт годовых приведённых затрат потребителя

 

 

Годовые приведённые затраты потребителя рассчитываются по формуле:

 

,                                            (6.40)

 

где  И – годовые эксплуатационные издержки потребителя, р.;

       Ен – нормативный коэффициент эффективности капитальных вложений, равный 15 %;

       К – капиталовложения потребителя, р.

 

 р.

 

В таблице 6.5 представлены экономические показатели проекта.

 

Таблица 6.5 – Экономические показатели проекта

Наименование статьи затрат

Сумма, р.

1

2

1.Материалы

485

2.Покупные комплектующие изделия

1248,1

3.Заработная плата, в том числе:

а) основная

б) дополнительная

13431,63

12210,58

1221,05

4.Отчисления на социальные нужды

4593,62

5.Затраты на потребление электроэнергии

66,93

6.Накладные расходы

2014,74

7.Себестоимость аппаратной части

20039,99

8.Себестоимость ПО

4620,76

9.Полная себестоимость устройства

25647,18

Продолжение таблицы 6.5

1

2

10.Годовые эксплуатационные издержки, в том числе:

а) заработная плата с отчислениями

б) затраты на электроэнергию

в) затраты на ремонт

4759,09

 

3395,26

342,2

1021,63

11.Годовые приведенные затраты

8606,17

 

Вывод: В этом разделе произведён расчёт себестоимости устройства для сбора информации о номинальных статических характеристиках термопар и расчёт годовых затрат на эксплуатацию. Расчёт экономической эффективности не производится,  так как проектируемое устройство не предназначено для реализации и будет использоваться только в исследовательских целях.

 

7 Безопасность труда

 

7.1 Анализ и обеспечение безопасности труда

 

Лаборатория “Промышленной электроники и информационно-вычислительной техники” университета находится в здании 15 корпуса , аудитория расположена на третьем этаже. В аудитории есть два световых проема размером по 4 м2, а также есть 12 ламп дневного света. Площадь помещения 45 м2, высота потолка 4,1 м.

В данном помещении имеются 10 рабочих мест, 6 из которых оснащены  6 ПЭВМ типа «Intel Pentium», а остальные   электронными приборами:

- осциллограф С1-114/1;

- стенд учебный УМ-12;

- установка для изучения сумматора УМ-13;

- анализатор сигнатурный 817.

Питание лабораторного оборудования производится от сети напряжения 220 В. Электропитание и заземление выполнены по правилам ПУЭ. Схема электроснабжения лаборатории представлена на рисунке 7.1.

 

 

Рисунок 7.1 – Схема электроснабжения лаборатории

 

В таблице 7.1 приведены условные обозначения рисунка 7.1.

 

 

Таблица 7.1 – Условные обозначения помещения лаборатории

Наименование

Обозначение

Столы с ПЭВМ

1

Стол

2

Столы с измерительными приборами

3

Шкаф

4

Окна

5

Дверь

6

Лампа освещения

7

Система кондиционирования

8

Розетка

9

Заземление

10

 

Длительность работы на ЭВМ определяется сложностью заданий и техническими данными терминала (разрешающей способностью и частотой кадровой развертки), характером выполняемых работ (ввод данных, программирование, редактирование текстов и прочее).

Для обеспечения комфортной работы преподавателей, студентов и лаборантов в лаборатории необходимым фактором является освещенность. В лаборатории используется искусственное и естественное освещение. На уровень освещенности лаборатории оказывает влияние цветовая отделка интерьера и оборудования, их отражающая способность.

В учебной лаборатории стены имеют бледно-синий цвет, столы имеют цвет натуральной древесины, что удовлетворяет “Методическим указаниям по профилактике переутомления студентов вузов при работе с видеотерминалами и электроизмерительными приборами”. Лаборатория  расположена на нейтральной стороне по отношению к солнцу.

Естественное освещение осуществляется через световые проемы, ориентированные преимущественно на восток и обеспечивает коэффициент естественной освещенности (КЕО) не ниже 1,2 %, а допустимые значения по СНиП 23-05-95 “Естественное  и  искусственное  освещение” должно быть 1,5 % для IV разряда зрительной работы (средней точности).

Для внутренней отделки интерьера помещений  с ВДТ и ПЭВМ использовались диффузно-отражающие материалы с коэффициентом отражения:

- для потолка 0,7 – 0,8;

- для стен 0,5 – 0,6;

- для пола 0,3 – 0,5.

Освещенность на поверхности стола должна быть 300-500 лк, реальная составляет около 350 лк, что удовлетворяет нормам по СНиП 23-05-95.

В качестве источников света при искусственном освещении применяются люминесцентные лампы типа ЛБ мощностью 40 Вт.

Площадь на одно рабочее место с ВДТ или ПЭВМ для взрослых пользователей составляет не менее 6,0 , а объем не менее 20,0 , что удовлетворяет нормам СанПиН 2.2.2/2.4.1340-03.

Поверхность пола в помещениях эксплуатации ВДТ и ПЭВМ ровная, без выбоин, нескользкая, удобная для очистки и влажной уборки, обладает антистатистическими свойствами. Разница (называемая отношением яркости) между рабочим местом и примыкающей площадью не превышает соотношение 3:1. Коэффициенты отношения поверхностей следующие:

- для потолка 80 – 95 %;

- для стен 50 –60 %;

- для мебели и машин 25 – 45 %;

- для пола 25 –45 %.

Для работы используются мониторы, удовлетворяющие стандартам MPR, MPR-2, TCO-92, TCO-95, TCO-99, а также стандарту Energy Star. Мониторы применяются с покрытием против бликов и с антистатическим покрытием электронной трубки. Оргтехника, обладающая слишком высоким уровнем шума – свыше 70 дБ, не используется.

В лаборатории используются деревянные стулья, не удовлетворяющие требованиям, поэтому рекомендуется заменить их на стулья, имеющие характеристики:

- ширина и глубина поверхности сиденья – 450 мм;

- поверхность сиденья с закругленным передним краем;

- угол наклона спинки в вертикальной плоскости в пределах ±30 градусов; 

- регулировка расстояния спинки от переднего края сиденья в пределах 260- 400 мм;

- регулировка подлокотников по высоте над сиденьем в пределах 230±30 мм и внутреннего расстояния между подлокотниками в пределах 350 - 500 мм.

В современных ЭВМ очень высокая плотность размещения элементов электронных схем. В непосредственной близости друг от друга располагаются соединительные провода, коммутационные кабели. При протекании по ним электрического тока выделяется значительное количество теплоты. При этом возможно оплавление изоляции, соединительных проводов, их оголение и, как следствие, короткое замыкание, которое может привести к воспламенению.

Для ликвидации пожаров в начальной стадии и своевременной эвакуации людей в лаборатории предусмотрены следующие меры:

- наличие первичных средств тушения пожара (1 огнетушитель ОУ-5, защищаемая площадь 30  м ,  противопожарное полотно);

- наличие устройств пожарной автоматики (2 извещателя дымовых ИП-212);

- наличие плана эвакуации.

Лаборатория имеет следующие характеристики:

- категория по взрывопожарной и пожарной опасности лаборатории  «Промышленной электроники» по НБП 105-95: В-3.

- класс взрывопожарности по ПУЭ – П-Па;

- степень огнестойкости здания II;

- тип вентиляции – естественная.

Лаборатория оснащена средствами системы пожарной сигнализации: извещателями дымовыми ИП-212. Максимальное расстояние между дымовыми извещателями 8,5 м, от стены до извещателя – 4,5 м при высоте  свыше 3,5 м до 6 м. Система  пожарной сигнализации рассчитана на круглосуточную работу. Шлейфы пожарной сигнализации выведены на концентратор на вахту без права отключения. Шлейфы пожарной сигнализации в защищаемых помещениях выполнены проводом ТРП-1х2х0,5 открыто по стенам и потолкам и проводом МГШВ-0,5 на тросе. Вертикальные спуски выполнены кабелем ТПП-10х2х0,5. Основное и резервное питание концентратора выполнено проводом АППВ-3х2,5 в металлорукаве.

Важным фактором нормального высокопроизводительного труда являются метеорологические условия в производственном помещении.

При измерениях температуры, относительной влажности воздуха в помещениях, где установлены компьютеры и электроизмерительные приборы, оргтехника, получились такие результаты. Температура в теплый период года колеблется от 21 до 24 0C, в холодный период года от 21 до 23 0C. Относительная влажность колеблется от 41 % до 55 % в холодный период и от 42 % до 62 % в теплый период года. Эти параметры температуры и влажности удовлетворяют нормам производственного микроклимата установленные системой стандартов безопасности труда ГОСТ 12.1.005-88 и СанПиН 2.2.4.548-96 «Гигиенические требования к микроклимату производственных помещений и электроизмерительным приборам» находятся в допустимых пределах.

Лаборатория не граничит с помещениями, имеющими повышенные уровни воздушного и ударного шума.

Неудобное сидячее положение, дискомфорт от сидячей работы за дисплеями и на рабочих местах можно уменьшить следующими методами:

- тщательным приспособлением состояния дисплея или объектива к индивидуальными особенностям зрения;

- приспособлением расстояния между глазами и дисплеем и расположения дисплея к конкретному работнику;

- регулировкой общего освещения на рабочем месте до достижения нужного его качества или обеспечением индивидуального освещения на рабочих местах;

-  таким изменением работы, которое обеспечило бы возможность отдыха после продолжительных операций, создающих нагрузку на глаза;

- обеспечением таких условий, в которых работники могли бы отдыхать в отдельном помещении с тем, чтобы снять усталость глаз;

- обеспечением регулировки высоты рабочего стула до нужного уровня и удобства сидения.    

Оргтехнику, обладающую слишком высоким уровнем шума – свыше 70 дБ, рекомендуется разместить в специальном помещении. Для техники, которую нельзя переместить, применить звукопоглощающие материалы, а также в качестве дополнительного звукопоглощения использовать  однотонные занавески из плотной ткани, гармонирующие с окраской стен. Занавески должны быть подвешены в складку на расстоянии 15 см от ограждения. Ширина занавеси составляет 6 м.

Для повышения влажности воздуха, если это необходимо, в помещениях с ВДТ и ПЭВМ применяются увлажнители воздуха, заправляемые ежедневно дистиллированной или прокипяченной питьевой водой.

Для предупреждения развития переутомления обязательными условиями являются:

- осуществление перерыва после каждого академического часа занятий длительностью не менее 15 минут, независимо от учебного процесса. С этой целью необходимо к компьютерам подключать таймер или централизованно отключить дисплей;

- проведение во время перерыва проветривания дисплейного класса (желательно сквозное с обязательным выходом из класса студентов);

- осуществление во время перерыва физкультурной паузы в течении 3 - 4 минут;

- для снятия локального утомления студентов следует обеспечить проведение физкультминуток (в течение 1 - 2 минут), которые могут выполняться индивидуально в зависимости от появления усталости;

- через каждые 20 - 25 минут работы на видеотерминале осуществлять упражнения для глаз.

Для снижения пыли в лаборатории предусмотрены следующие рекомендации:

- не входить в помещение, где установлены видеотерминалы, в уличной обуви;

- ежедневно перед началом учебных занятий в дисплейном классе проводить влажную уборку и проветривание помещения.

 

 

7.2 Расчет производственного освещения

 

 

7.2.1 Расчет естественного освещения. Согласно СНиП 23-05-95 нормированное значение КЕО (ен) для зданий, располагаемых в различных районах следует определить по формуле:

 

                                                                                                       (7.1)

где е - нормированное значение коэффициента естественной освещенно­сти;

         т - коэффициент светового климата.

 

                                 

 

При определении достаточности естественного освещения на стадии про­ектирования производственного помещения для правильной расстановки обо­рудования и размещения рабочих мест необходимо рассчитать площадь остекления световых проемов.

При боковом освещении помещений расчет площади световых проемов ведется по формуле:

 

                                                                                (7.2)

 

где   S0 – площадь световых проемов;

        Sn – площадь пола помещения, м2;

        en – нормированное значение коэффициента естественной освещенности, %;

        Кз – коэффициент запаса;

         – световая характеристика окон;

        Кзд – коэффициент, учитывающий затенение окон противостоящими зданиями;

        - общий коэффициент светопропускания;

         - коэффициент, учитывающий влияние отраженного света.

 

 

Вывод: Расчетная площадь световых проемов составляет 16,2 , а фактическая площадь 8 , что  говорит о недостаточности естественного освещения. Отсюда следует, что необходимо использовать искусственное освещение.

7.2.2 Расчет искусственного освещения. Работа, выполняемая с использованием вычислительной техники, имеет следующие недостатки:

–  вероятность появления прямой блеклости; 

–  ухудшенная контрастность между изображением и фоном;

–  отражение экрана.

Рациональное искусственное освещение должно отвечать следующим требованиям: быть достаточным по уровню освещенности и по размерности освещения; обеспечивать правильный выбор источников света; иметь рациональное распределение света в помещении. Различают пять видов освещения служебных помещений:

–  прямой, при котором весь свет падает прямо вниз, он создается при установке рефлектора над лампой (при прямом свете значителен ослепляющий эффект, создаются резкие тени, т.е. неравномерное распределение света);

–  полупрямой, когда 70-90 % световых лучей направлено вниз, а 10-30 % световых лучей направлено вверх и отражаются от потолка (такой вид освещения создается с помощью просвечивающих рефлекторов, он удобен для небольших помещений).

–  прямой косвенный, при котором 40-60 % света падает вниз (создается люминесцентными лампами, где лучи разделяют поперечные перекладины светильников);

–  полукосвенный, при котором 10-40 % света направленно вниз, а 60-90 % света направлено вверх и отражается от потолка (при этом достигается хорошее рассеивание, отсутствует ослепляющий эффект);

–  косвенный, при котором 90 -100 % света направляется вверх и отражается от потолка и стен (в этом случае достигается хорошее рассеивание, а электроэнергия используется для освещения в небольших размерах).

Освещенность на поверхности стола в зоне размещения рабочего документа должна быть 300 - 500 лк. В качестве источников света при искусственном освещении использованы люминесцентные лампы типа ЛБ.

Освещение на рабочем месте программиста должно быть таким, чтобы работник мог без напряжения зрения выполнять свою работу. Утомляемость органов зрения зависит от ряда причин:

–  недостаточность освещенности;

–  чрезмерная освещенность;

–  неправильное направление света.

Расчет освещенности рабочего места сводится к выбору системы освещения, определению необходимого числа светильников, их типа и размещения.

Процесс работы программиста осуществляется в таких условиях, когда естественное освещение недостаточно или отсутствует. Исходя из этого, рассчитаем параметры искусственного освещения.

Искусственное освещение выполняется посредством электрических источников света двух видов: ламп накаливания и люминесцентных ламп. Будем использовать люминесцентные лампы, которые по сравнению с лампами накаливания имеют существенные преимущества:

– по спектральному составу света они близки к дневному, естественному освещению;

–  обладают более высоким КПД (в 1,5-2 раза выше, чем КПД ламп накаливания);

–  обладают повышенной светоотдачей (в 3-4 раза выше, чем у ламп накаливания);

–  имеют более длительный срок службы.

Расчет освещения производится для комнаты площадью 45 м2 , ширина которой 5 м, длина 9 м, высота – 4,1 м и высотой рабочей поверхности – 0,75 м. Число светильников в комнате равно 12, а в каждом светильнике по две лампы. Схема расположения светильников в лаборатории представлена на рисунке 6.1.

Рассчитать искусственное освещение можно с помощью двух методов:

- метод коэффициента использования светового потока;

- метод удельной мощность.

Сначала выполним расчет по методу коэффициента использования светового потока, учитывающего световой поток, отраженный от потолка и стен. Световой поток лампы рассчитывается по формуле:

 

                                           ,                                                (7.3)

 

где  Ф – рассчитываемый световой поток, лм;

        ЕН – нормированная минимальная освещенность, лк;

        S – площадь освещаемого помещения, м2;

        z – коэффициент неравномерности освещения;

        kз – коэффициент запаса, учитывающий уменьшение светового потока лампы в результате загрязнения светильников в процессе эксплуатации;

        N – число светильников, шт;

        n –  число ламп в светильнике, шт;

        u –  коэффициент использования светового потока.

Коэффициент неравномерности z зависит от светораспределения светильников и их расположения в пространстве. Он учитывает, что в реальных условиях неизбежна некоторая неравномерность освещения поверхности. При расположении светильников близком к наилучшему, его можно принять равным 1,2.

Коэффициент запаса kз учитывает снижение освещенности из-за загрязнения и старения лампы. Так как будут использованы люминесцентные лампы в помещениях, при запыленности менее 5 мг/м3,  kз принимается равным 1,5.

Для определения коэффициента использования светового потока  находится индекс помещения  и предположительно оцениваются коэффициенты отражения поверхностей помещения: потолка - pn, стен -, стола – pc, pp.  Для данного помещения pn равен 50 %, pc – 30 %, pp – 10 %.

Индекс помещения находится по формуле:

 

                                                 ,                                             (7.4)

 

где  Нр – высота подвеса светильника над расчетной поверхностью, м;

        А  – ширина помещения, м;

        В  – длина помещения, м.

Подставив значения, получим:

 

.

 

Зная индекс помещения ,  и , по таблице находим  u равный 0,36.

Подставим все значения в формулу для определения светового потока:

 

 Лм.

 

Тип люминесцентных ламп выбираем среди следующих вариантов согласно ГОСТ 6825-91 «Лампы люминесцентные трубчатые для общего освещения»:

–  лампа ЛБ-40, световой поток 2800 лм;

–  лампа ЛБ-65, световой поток 4600 лм;

–  лампа ЛБ-80, световой поток 5200 лм.

По рассчитанному значению Ф выбираем лампу типа ЛБ, мощностью 40 Вт.

Теперь выполним расчет методом удельной мощности. Удельная мощность представляет собой частное от деления суммарной мощности лампы на площадь помещения. Она зависит от выбранной нормы освещения, типа светильника, высоты его подвеса, отражающих свойств помещения.

Имеются таблицы удельной мощности, составленные на основе рассчитанных для типовых значений коэффициента использования светового потока. При пользовании этими таблицами расчетные значения для освещения 100 лк от реально применяемых светильников округляется делением табличных значений на выражение в долях единицы значения КПД светильников.

В таблице находим P  равный 2,9 Вт/м  , но так как в таблице освещенность 100 лк, kз – 1.5 и КПД равный 100 %, то необходимо пересчитать удельную мощность.

Расчет выполним по формуле:

 

                                                                                       (7.5)

 

Вт/м2.

 

Число светильников будет определяться по формуле

 

                                                     ,                                                 (7.6)

 

где  ру — удельная мощность, Вт/м2;

        S — площадь помещения, м2;

        Рл — мощность лампы (ЛБ-40х2).

 

Число светильников равно:

 

шт.

 

Таким образом, принимаем четыре ряда светильников по четыре в каждом.

Вывод: согласно проведенным расчетам для обеспечения на рабочем месте нормативной освещенности необходимо использовать люминесцентные лампы типа ЛБ, мощностью 40 Вт, а необходимое количество светильников 16.

 

 

7.3 Возможные чрезвычайные ситуации

 

 

В соответствии с ГОСТ Р 22.0.02 – 94 приняты следующие определения.

Чрезвычайная ситуация (ЧС) – состояние, при котором в результате возникновения источника чрезвычайной ситуации на объекте, определенной территории или акватории нарушаются нормальные условия жизни и деятельности людей, возникает угроза их жизни и здоровью, наносится ущерб имуществу населения, народному хозяйству и окружающей природной среде.

Риск возникновения ЧС – вероятность или частота возникновения источника ЧС, определяемая соответствующими показателями риска.

Источник   распространенная инфекционная болезнь людей, сельскохозяйственных животных и растений, а также применение современных средств поражения, в результате чего произошла или может возникнуть ЧС.

Безопасность в ЧС – состояние защищенности населения, объектов народного хозяйства и окружающей природной среды от опасностей в ЧС.

Защищенность в ЧС – состояние, при котором предотвращают, преодолевают или предельно снижают негативные последствия возникновения потенциальных опасностей в ЧС для населения, объектов народного хозяйства и окружающей природной среды.

Зона ЧС – территория или акватория, на которой в результате возникновения источника ЧС или распространения его последствий из других районов возникла зона ЧС.

Причинами возникновения ЧС являются: стихийные бедствия, техногенные аварии и катастрофы, антропогенные катастрофы, применение средств массового поражения и т.д.

Недалеко от учебных корпусов ОГУ 14 и 15 проходит железная дорога. На расстоянии R=2 км от корпусов на железной дороге произошла авария на товарном поезде, перевозящем аварийно химически опасные вещества (АХОВ).

Произведем оценку химической обстановки при заражении воздуха оксидом этилена, используя методику РД52-40.

Основные параметры:

– количество перевозимого оксидом этилена – 3 т;

– температура воздуха – 0 °С;

– скорость ветра – 3 м/с;

– время от начала аварии – 4 часа;

– угловой размер зоны поражения – 45 0;

– скорость переноса переднего фронта облака зараженного воздуха (при конвекции и соответствующей скорости ветра) – 21 км/ч;

 

Полная глубина зоны заражения:

 

 км.

 

Предельно возможная глубина переноса воздушных масс:

 

                                                     ,                                               (7.7)

 

где  – скорость переноса переднего фронта облака зараженного воздуха, км/ч;

         – время от начала аварии, ч.

 

км.

 

За истинную глубину зоны заражения принимается величина:

 

                                                 .                                       (7.8)

 

Площадь зоны заражения АХОВ:

 

                                                    ,                                          (7.9)

 

где – коэффициент, учитывающий влияние степени вертикальной устойчивости воздуха на ширину зоны заражения: для конвекции – 0,235;

        – время от начала аварии, ч.

 

.

 

Эквивалентное количество АХОВ по первичному облаку, кг, определяется по формуле:

 

                                              .                                       (7.10)

 

Не рассчитываем эквивалентное количество АХОВ по первичному облаку, потому что =0.

Эквивалентное количество АХОВ по первичному облаку, кг, определяется по формуле:

 

                                     ,            (7.11)

 

где – коэффициент, зависящий от условий хранения АХОВ;

       – коэффициент, зависящий от физико-химических свойств АХОВ;

       – коэффициент, равный отношению пороговой токсидозы хлора к пороговой токсидозе рассматриваемого АХОВ;

       – коэффициент, учитывающий скорость ветра;

       – коэффициент, учитывающий степень вертикальной устойчивости атмосферы;

       – коэффициент, учитывающий время, прошедшее с начала аварии , ч;

      – количество разлившегося АХОВ;

      – плотность жидкой фазы АХОВ, ;

      – толщина слоя разлившегося жидкого АХОВ, м.

 

       кг

 

Время (t) подхода облака к учебным корпусам:

 

                                                        ,                                                  (7.12)

 

где   – расстояние от источника заражения до объекта;

         – скорость переноса переднего фронта облака зараженного воздуха, км/ч.        

 мин.

                   

Обеспеченность людей противогазами – 20 %, отсюда возможные потери людей:

- на открытои местности – 75 %;

- в зданиях – 40 %;

- из них легкой степени поражения – 25 %;

- средней и тяжелой степени – 40 %;

- со смертельным исходом – 35 %.

На территории корпусов находится примерно 700 человек, из них 100 могут находиться на открытой местности, отсюда возможные потери:

 

 

Из них

-110 – со смертельным исходом;

-126 – средней и тяжелой степени;

-79 – легкой степени.

 

 Таблица 7.2 – Результаты оценки химической обстановки при аварии

Источник заражения

Тип АХОВ

Кол-во   АХОВ, т

Глубина зоны заражения, км

Общая площадь зоны заражения, км2

Потери от АХОВ, человек

Авария поезда

оксид этилена

3

3.99

4.94

315

 

Вывод: необходимо полное обеспечение средствами индивидуальной защиты органов дыхания.

 Расчет времени эвакуации сотрудников лаборатории при пожаре. Также наиболее вероятной чрезвычайной ситуацией в лаборатории может быть пожар. Для организаций, оснащенных вычислительной техникой, наиболее частые причины возникновения пожаров – причины электрического характера:

–   короткие замыкания, перегрузки, искрения от нарушения изоляции, что приводит к нагреванию проводников до температуры воспламенения изоляции;

– электрическая дуга, возникающая между контактами коммутационных аппаратов, не предназначенных для отключения больших токов нагрузки;

–   неудовлетворительные контакты в местах соединения проводов и их сильный нагрев вследствие большого переходного сопротивления при протекании электрического тока;

–   искрение в электрических аппаратах и машинах, а также искрение в результате электростатических разрядов и ударов молнии;

–  неисправность (замыкания) в обмотках электрических машин при отсутствии надлежащей защиты.

В связи с большой пожароопасностью необходимо применять профилактические меры. Пожарная профилактика при эксплуатации электронных приборов и устройств заключается в следующих мероприятиях:

–  поддержания сопротивления изоляции токоведущих частей не ниже

величин, регламентированных правилами техники безопасности;

–  защите изоляции от теплового, механического и агрессивного воздействия окружающей среды посредством прокладки проводов в трубах, исключении повреждения изоляции проводов и кабелей от вибрации, тряски и при движении;

–  защита открытых токоведущих частей (ограждениями) от попадания на них посторонних предметов;

–  устройство механических и электрических блокировок для исключения ошибочных действий при выполнении оперативных переключений.

Для предотвращения пожаров должны строго соблюдаться правила пожарной безопасности. Необходимо тщательно проверять состояние контактов, так как ослабление контактов в местах присоединения может привести к местному нагреву, а затем к нагреву провода и к нагреву изоляции выше допустимых температур. Особое внимание следует обращать на временные электропроводки, которые часто плохо изолируются в местах соединения, подвергаются скручиванию и ударам, что нарушает изоляцию проводов, и вызывает короткое замыкание. Надежность работы радиоэлектронных изделий гарантируется только в определенных интервалах температуры, влажности, тока и напряжения. Из-за возможных отклонений электрических и климатических параметров эти изделия являются нередко источниками открытого пламени и высоких температур. Причиной этого является небрежное исполнение радиотехнических изделий с элементами нарушения правил пожарной безопасности.

Для ликвидации начинающихся очагов пожара силами персонала помещения должны быть обеспечены по действующим нормам (НПБ 105-95) первичными средствами пожаротушения, пожарным ручным инструментом и пожарным инвентарем. Для тушения электроустановок под напряжением до 1 кВ необходимо применять углекислотные (ОУ-2, ОУ-5, ОУ-8), углекислотно-бромэтиловые огнетушители (ОУБ-3, ОУБ-7) или порошковые огнетушители (ОП-3, ОП -5), так как струя не электропроводна.

Необходимо оборудовать помещения охранно-пожарной сигнализацией, извещающей органы пожарной охраны о пожаре и месте его возникновения – обеспечивается автоматической (нажатием кнопки) пожарной сигнализацией, а также при помощи телефонной связи.

В соответствии с требованиями НПБ 105-95 в здании предусмотрена автоматическая система оповещения людей о пожаре по второму типу. Запуск средств оповещения должен происходить автоматически при срабатывании любого пожарного извещателя. Система оповещения людей при пожаре должна быть рассчитана на круглосуточную работу, и обеспечить оповещение всех одновременно во всех местах постоянного и временного пребывания людей.

Шлейфы системы оповещения выполнены проводом при открытой параллельной прокладке, расстояние между проводами шлейфов сигнализации, силовыми и осветительными приборами не менее 0,5 м. План эвакуации людей при пожаре представлен на рисунке 7.3.

 

 

Участок 1 – А;

Участок 2 – Б;

Участок 3 – В;

Участок 4 – Г;

Участок 5 – Д.

 

Рисунок 7.3 – План эвакуации людей аудитории 15310

 

Возможные причины возникновения пожара:

–  работы с открытым огнем без соблюдения правил пожарной безопасности;

–   курение в неустановленных местах;

–   неисправность электрической проводки;

–  неисправность приборов и оборудования, питаемых от сети, и нарушение правил эксплуатации;

–   неисправность защитного заземления;

–   неисправность молниезащиты.

Эвакуация сотрудников лаборатории в случае возникно­вения пожара или при возникновении другой чрезвычайной ситуации производится в соответствии с планом эвакуации. Процесс движения людей в силу угрожающей им опасности инстинктивно начинается одновременно в одном направлении – в сторону выходов. Это приводит к тому, что проходы быстро заполняются людьми определенной плотности потоков. Показа­телем эффективности процесса вынужденной эвакуации является время, в течение которого люди могут при необходимости покинуть отдельные помещения и здание в целом. При расчете весь путь движения людского потока подразделяется на участ­ки (проход, коридор, дверной проем, лестница, тамбур). Далее происходит выход людей из здания и рассеивание.

Расчетное время эвакуации людей из помещений и зданий устанавливается по расчету времени движения одного или нескольких людских потоков. Расчет ведется в соответствии с ГОСТ  12.1.004-91. При расчете путь движения людского потока делится на участки длинной li и шириной bi. Расчетное время эвакуации людей Т, мин определяется по формуле:

 

                                                   ,                                                 (7.13)

 

где  ti – время движения людского потока на i-м участке, мин;

        n – количество участков эвакуации.

Плотность людского потока Di, чел/м2 на i-м участке пути определяется по формуле:

 

                                                 ,                                              (7.14)

 

где  Ni – число людей на i-м участке;

        f – средняя площадь горизонтальной проекции человека, м2 (f=0,125 м2);

       li – длина i-го участка, м;

       bi – ширина i-го участка, м.

Время движения на участке пути ti, мин следует определять по формуле:

 

ti=li/vi ,                                               (7.15)

 

 

 

Таблица 7.2 – Значение скорости движения людского потока на участке в зависимости от плотности потока

Плотность потока, D, чел/м2

Горизонтальный путь

Дверной проем

Лестница вниз

Скорость, v, м/мин

Интенсивность, q, чел/мин

Интенсивность, q, чел/мин

Скорость, v, м/мин

Интенсивность, q, чел/мин

0,01

100

1

1

100

1

0,05

100

5

5

100

5

0,1

80

8

8,7

95

9,5

0,2

60

12

13,4

68

13,6

0,3

47

14,1

16,5

52

16,6

0,4

40

   16

18,4

40

   16

0,8

19

15,2

17,3

13

   10,4

0,9 и более

15

13,5

8,5

  8

   7,2

 

Результаты расчета времени эвакуации людей сведены в таблицу 7.3.

 

Таблица 7.3 – Результаты расчета времени эвакуации людей

Участок

Длина, li, м

Ширина, bi, м

Число людей, Ni

Плотность потока, Di,      ч  чел/м2

Интенсивность, qi, ч      ч_чел/м2

Скорость, vi, м/мин

Время, ti, мин

1

9

9

11

0,017

1

58,8

0,15

2

6

3

44

0,305

14,1

46,2

0,13

3

6

6

46

0,159

10

62,8

   0,01

4

15

3

46

0,127

9

70,8

0,21

5

24

2

46

0,12

8,8

73,3

0,32

 

Расчетное время эвакуации людей:

 

Т = 0,15 + 0,13 + 0,095 + 0,21 + 0,32 = 0,905 мин.

 

Таким образом, расчетное время эвакуации из помещения составляет 0,905 мин или 54,3 сек. Время эвакуации по лестничным маршам не должно превышать 5 мин, а время эвакуации из помещения между лестничными клетками 1 мин. Расчетное время не превышает допустимого.


Заключение

 

В ходе дипломного проектирования была разработана техническая документация на аппаратные средства устройства для сбора информации о номинальных статических характеристиках термопар. При этом были реализованы все параметры технического задания на проект. 

 

Список использованных источников

 

  1. Кениг А. М. Полное руководство по PIC-микроконтроллерам [Текст]:/ А.М Кениг.- М.: Додэка – XXI, 2007. – 594с.
  2. Ефремов, И.В. Расчет естественного и искусственного освещения[Текст]:/ Методические указания к практическим занятиям И.В. Ефремов, Е.Л. Янчук, Л.А. Быкова . –М.:2002. –38с.
  3. Клюев, А.С. Наладка средств автоматизации и автоматических систем регулирования[Текст]:/ А.С. Клюев.-М.: Энергоатомиздат, 1989.-368с.
  4. Колкер, М.И. Электропечи сопротивления с широтно-импульсным управлением с применением тиристоров[Текст]:/ М.И.Клокер.-М.: Москва.: Энергия, 1977.-103с.
  5. Новиков, Ю.В. Разработка устройств сопряжения для персонального компьютера типа IBM PC[Текст]:/Ю.В.Новиков. – М.: ЭКОМ, 1997. – 222с.
  6. Тампер, В. Измерение, управление и регулирование с помощью микроконтроллеров[Текст]:/ В.Тампер.–М.: МК-Пресс, 2006. –200с.
  7. Титце, У. Полупроводниковая схемотехника[Текст]:/Справочное руководство/ У.Титце, К.Шенк.-М.: Мир, 1982. – 512с
  8. Уваров, А.С. P-CAD 2002 и SPECCTRA. Разработка печатных плат[Текст]:/ А.С.Уваров.-М.: СОЛОН – Пресс, 2003. – 544с.

         

 

Приложение А

(справочное)

 

Исходный текст программы

 

 

********************ЧАСТЬ ДЛЯ ОЗНАКОМЛЕНИЯ*********************

 

 

        movlw   .1              ;вычитаем из

        bcf     STATUS,C        ;ст. байта тек. температуры

        subwf   Tz2_h           ;единицу

        btfss   STATUS,C        ;если был заем, то

        goto    acp             ;назад

        bcf     fire2

        goto    start

        END

 

 

 

 

Приложение Б

(справочное)

 

Загрузочный код программы

 

 

:020000040000FA

:1000000000005929A200A20B032808000030B50007

****************ЧАСТЬ  ПРОГРАММЫ***************

 

:02400E00313748

:00000001FF

 

Чертежи:

 

 

 

 

 

 

Скачать: 4213.rar

Категория: Дипломные работы / Дипломные работы по электронике

Уважаемый посетитель, Вы зашли на сайт как незарегистрированный пользователь.
Мы рекомендуем Вам зарегистрироваться либо войти на сайт под своим именем.